diff --git a/FINAL/RISCCore.v b/FINAL/RISCCore.v new file mode 100644 index 0000000..593400b --- /dev/null +++ b/FINAL/RISCCore.v @@ -0,0 +1,286 @@ +module RISCCore ( + input rst, + input clk, + output reg [31:0] pc, + output wire [31:0] next_pc, // Changed to wire + output wire [31:0] instr +); + + // IMem - reduced size for simplicity + reg [31:0] imem [0:63]; + initial begin + $readmemh("program.hex", imem); + end + assign instr = (pc[31:2] < 64) ? imem[pc[31:2]] : 32'h00000013; // Word-aligned access + + //Data Mem + reg [31:0] dmem [0:31]; + wire [31:0] ld_data; + wire [4:0] word_addr = mem_addr[6:2]; + + integer j; + initial begin + for(j = 0; j < 32; j = j + 1) begin + dmem[j] = 32'b0; + end + end + + assign ld_data = (word_addr < 32) ? dmem[word_addr] : 32'b0; + + // Instruction decoder + wire [6:0] opcode = instr[6:0]; + wire [4:0] rs1 = instr[19:15]; + wire [4:0] rs2 = instr[24:20]; + wire [4:0] rd = instr[11:7]; + wire [2:0] funct3 = instr[14:12]; + wire [6:0] funct7 = instr[31:25]; + + // Instruction type detection + wire isUType = (opcode == 7'b0110111) || (opcode == 7'b0010111); // LUI, AUIPC + wire isIType = (opcode == 7'b0000011) || (opcode == 7'b0000111) || // LOAD + (opcode == 7'b0010011) || (opcode == 7'b0011011) || // OP-IMM + (opcode == 7'b1100111); // JALR + wire isRType = (opcode == 7'b0110011) || (opcode == 7'b0111011); // OP + wire isSType = (opcode == 7'b0100011) || (opcode == 7'b0100111); // STORE + wire isBType = (opcode == 7'b1100011); // BRANCH + wire isJType = (opcode == 7'b1101111); // JAL + + // Immediate generation + wire [31:0] Iimm = {{20{instr[31]}}, instr[31:20]}; + wire [31:0] Simm = {{20{instr[31]}}, instr[31:25], instr[11:7]}; + wire [31:0] Bimm = {{19{instr[31]}}, instr[31], instr[7], instr[30:25], instr[11:8], 1'b0}; + wire [31:0] Uimm = {instr[31:12], 12'b0}; + wire [31:0] Jimm = {{11{instr[31]}}, instr[31], instr[19:12], instr[20], instr[30:21], 1'b0}; + + // Register file + // Initialize register file (x0 always zero) + reg [31:0] rf [0:31]; //Register file + integer i; + initial begin + for (i = 0; i < 32; i = i + 1) begin + rf[i] = 32'b0; + end + end + + // Read ports + wire [31:0] rs1_val = (rs1 != 0) ? rf[rs1] : 32'b0; + wire [31:0] rs2_val = (rs2 != 0) ? rf[rs2] : 32'b0; + + // Instruction decoding + wire isADDI = (opcode == 7'b0010011) && (funct3 == 3'b000); + wire isADD = (opcode == 7'b0110011) && (funct3 == 3'b000) && (funct7 == 7'b0000000); + // Branch instructions + wire isBEQ = (isBType) && (funct3 == 3'b000); + wire isBNE = (isBType) && (funct3 == 3'b001); + wire isBLT = (isBType) && (funct3 == 3'b100); + wire isBGE = (isBType) && (funct3 == 3'b101); + wire isBLTU = (isBType) && (funct3 == 3'b110); + wire isBGEU = (isBType) && (funct3 == 3'b111); + + //store load instructions + wire isLB = (isIType) && (funct3 == 3'b000); + wire isLH = (isIType) && (funct3 == 3'b001); + wire isLW = (opcode == 7'b0000011) && (funct3 == 3'b010); + wire isLBU = (isIType) && (funct3 == 3'b100); + wire isLHU = (isIType) && (funct3 == 3'b101); + + wire isSB = (isSType) && (funct3 == 3'b000); + wire isSH = (isSType) && (funct3 == 3'b001); + wire isSW = (opcode == 7'b0100011) && (funct3 == 3'b010); + + //sl and sr instructions + wire isSLT = (isRType) && (funct3 == 3'b010) && (funct7[5] == 1'b0); + wire isSLTU = (isRType) && (funct3 == 3'b011) && (funct7[5] == 1'b0); + wire isSLL = (opcode == 7'b0110011) && (funct3 == 3'b001) && (funct7 == 7'b0000000); + wire isSLTI = (isIType) && (funct3 == 3'b010); + wire isSLTIU = (isIType) && (funct3 == 3'b011); + + wire isSRL = (opcode == 7'b0110011) && (funct3 == 3'b101) && (funct7 == 7'b0000000); + wire isSRA = (opcode == 7'b0110011) && (funct3 == 3'b101) && (funct7 == 7'b0100000); + + wire isSLLI = (opcode == 7'b0010011) && (funct3 == 3'b001) && (funct7[6:1] == 6'b000000); + wire isSRLI = (opcode == 7'b0010011) && (funct3 == 3'b101) && (funct7[6:1] == 6'b000000); + wire isSRAI = (opcode == 7'b0010011) && (funct3 == 3'b101) && (funct7[6:1] == 6'b010000); + + //logic imms + wire isANDI = (opcode == 7'b0010011) && (funct3 == 3'b111); + wire isORI = (opcode == 7'b0010011) && (funct3 == 3'b110); + wire isXORI = (opcode == 7'b0010011) && (funct3 == 3'b100); + // logic + wire isAND = (opcode == 7'b0110011) && (funct3 == 3'b111) && (funct7 == 7'b0000000); + wire isOR = (opcode == 7'b0110011) && (funct3 == 3'b110) && (funct7 == 7'b0000000); + wire isXOR = (opcode == 7'b0110011) && (funct3 == 3'b100) && (funct7 == 7'b0000000); + wire isSUB = (opcode == 7'b0110011) && (funct3 == 3'b000) && (funct7 == 7'b0100000); + //lui auipc (opcode use) + wire isLUI = (opcode == 7'b0110111); + wire isAUIPC = (opcode == 7'b0010111); + wire isJAL = (opcode == 7'b1101111); + //jal UType + + wire isJALR = (opcode == 7'b1100111) && (funct3 == 3'b000); + + //Mem address calculation + wire [31:0] mem_addr = (is_mem_op) ? alu_result : 32'b0; + + //Mem address logic + //For simplicity we only implement all loads as word loads (lw) + //byte/halfword ignored + wire is_load = (opcode == 7'b0000011); // All load instructions + wire is_store = (opcode == 7'b0100011); // All store instructions + wire is_mem_op = is_store || is_load; + + +//Load operations + + reg [31:0] load_data; + + always @(*) begin + if (mem_addr[1:0] == 2'b00) begin + case (funct3) + 3'b000: load_data = {{24{ld_data[7]}}, ld_data[7:0]}; + 3'b001: load_data = {{16{ld_data[15]}}, ld_data[15:0]}; + 3'b010: load_data = ld_data; + 3'b100: load_data = {24'b0, ld_data[7:0]}; + 3'b101: load_data = {16'b0, ld_data[15:0]}; + default: load_data = 32'b0; + endcase + end else begin + load_data = 32'b0; + if (is_load) begin // Only show error for actual loads + $display("ERROR: Misaligned memory address at addr %h", mem_addr); + end + end + end + +//Store operations +always @(*) begin + if (!rst && is_store && (word_addr < 32) && (mem_addr[1:0] == 2'b00)) begin + case (funct3) + 3'b000: begin //SB: store byte 0 + dmem[word_addr][7:0] = rs2_val[7:0]; + end + 3'b001: begin //SH: store halfword + dmem[word_addr][15:0] = rs2_val[15:0]; + end + 3'b010: begin //SW: store word + dmem[word_addr] = rs2_val; + end + endcase + $display("MEM Write: word_addr=%h, data=%h", word_addr, rs2_val); + end +end + // ALU operations + + //sltu and slt + wire [31:0] sltu_rslt = {31'b0, (rs1_val < rs2_val)}; + wire [31:0] signed_slt = (rs1_val[31] && !rs2_val[31]) ? 1'b1 : + (!rs1_val[31] && rs2_val[31]) ? 1'b0 : + (rs1_val < rs2_val); + wire [31:0] slt_rslt = {31'b0, signed_slt}; + wire [31:0] slti_rslt = ((rs1_val[31] == Iimm[31]) ? sltu_rslt : {31'b0, rs1_val[31]}); + + wire [63:0] SErs1_val = {{32{rs1_val[31]}}, (rs1_val < rs2_val)}; + + wire [63:0] sra_rslt = {SErs1_val >> rs2_val[4:0]}; + wire [63:0] srai_rslt = {SErs1_val >> Iimm[4:0]}; + wire [31:0] sltiu_rslt = {31'b0, (rs1_val < Iimm)}; + + wire [31:0] alu_result = (is_mem_op) ? (rs1_val + Iimm) : // Mem address computation + (isADDI) ? (rs1_val + Iimm) : + (isADD) ? (rs1_val + rs2_val) : + (isSLT) ? slt_rslt : + (isSLTU) ? sltu_rslt : + (isSLTI) ? slti_rslt : + (isANDI) ? (rs1_val & Iimm) : + (isORI) ? (rs1_val | Iimm) : + (isXORI) ? (rs1_val ^ Iimm) : + (isSLLI) ? (rs1_val << Iimm[4:0]) : + (isSRLI) ? (rs1_val >> Iimm[4:0]) : + (isAND) ? (rs1_val & rs2_val) : + (isOR) ? (rs1_val | rs2_val) : + (isXOR) ? (rs1_val ^ rs2_val) : + (isSUB) ? (rs1_val - rs2_val) : + (isSLL) ? (rs1_val << rs2_val[4:0]) : + (isSRL) ? (rs1_val >> rs2_val[4:0]) : + (isSLTIU) ? (sltiu_rslt) : + (isLUI) ? ({Iimm[31:12], 12'b0}) : + (isAUIPC) ? (pc + Iimm) : + (isJAL || isJALR) ? (pc + 32'd4) : + (isSRA) ? (sra_rslt[31:0]) : + (isSRAI) ? (srai_rslt[31:0]) : + 32'b0; + + + wire signed [31:0] signed_rs1 = rs1_val; + wire signed [31:0] signed_rs2 = rs2_val; + + wire branch_taken = + isBEQ ? (rs1_val == rs2_val) : + isBNE ? (rs1_val != rs2_val) : + isBLT ? (signed_rs1 < signed_rs2) : + isBGE ? (signed_rs1 >= signed_rs2) : + isBLTU ? (rs1_val < rs2_val) : + isBGEU ? (rs1_val >= rs2_val) : + 1'b0; + + // Next PC calculation - FIXED: using wire for continuous assignment + wire [31:0] branch_target = pc + Bimm; + wire [31:0] next_pc_base = pc + 32'h4; + wire [31:0] jalr_tgt_pc = rs1_val + Iimm; + + assign next_pc = branch_taken ? branch_target : + isJAL ? branch_target : + isJALR ? jalr_tgt_pc : + next_pc_base; + + // Register write back + wire rf_write_enable = (rd != 0) && ( + isADDI || isADD || isSUB || + isJAL || isJALR || + isSLT || isSLTU || isSLTI || isSLTIU || + isSLL || isSRL || isSRA || isSLLI || isSRLI || isSRAI || + isLB || isLH || isLW || isLBU || isLHU || + isXORI || isORI || isANDI || + isXOR || isOR || isAND || + is_load || isLUI || isAUIPC + ); + + + wire [31:0] writeback_data = is_load ? load_data : alu_result; + + // PC update + always @(posedge clk) begin + if (rst) begin + pc <= 32'h0; + end else begin + pc <= next_pc; + end + end + + // Register write back +always @(posedge clk) begin + if (rf_write_enable && !rst) begin + rf[rd] <= writeback_data; // Use writeback_data instead of alu_result + $display("RF Write: x%d = %h", rd, writeback_data); + end +end + +// Debug monitoring + always @(posedge clk) begin + if (!rst) begin + $display("PC=%08h, Instr=%08h, rs1=x%d(%h), rs2=x%d(%h), rd=x%d, branch_taken=%b", + pc, instr, rs1, rs1_val, rs2, rs2_val, rd, branch_taken); + if (pc[1:0] != 2'b00) begin + $display("WARNING: PC not word-aligned: %h", pc); + end + end + end + + always @(posedge clk) begin + if (!rst && (opcode == 7'b0000011)) begin + $display("LOAD: byte_addr=%h, word_addr=%h, data=%h, funct3=%b", + mem_addr, word_addr, load_data, funct3); + end + end + +endmodule diff --git a/FINAL/RISCCore_tb.v b/FINAL/RISCCore_tb.v new file mode 100644 index 0000000..4534992 --- /dev/null +++ b/FINAL/RISCCore_tb.v @@ -0,0 +1,62 @@ +`timescale 1ns/1ps + +module RISCCore_tb; + reg clk; + reg rst; + wire [31:0] pc; + wire [31:0] next_pc; + wire [31:0] instr; + + // Instantiate the RISC-V core + RISCCore uut ( + .rst(rst), + .clk(clk), + .pc(pc), + .next_pc(next_pc), + .instr(instr) + ); + + // Clock generation + always #5 clk = ~clk; + + // Initialize signals + initial begin + clk = 0; + rst = 1; + + // Reset sequence + #10 rst = 0; + + // Run for enough clock cycles + #500; + + // Display final register values + $display("\n=== FINAL REGISTER STATE ==="); + $display("x1 (ra) = %h", uut.rf[1]); + $display("x2 (sp) = %h", uut.rf[2]); + $display("x3 (gp) = %h", uut.rf[3]); + $display("x4 (tp) = %h", uut.rf[4]); + $display("x5 (t0) = %h", uut.rf[5]); + $display("x6 (t1) = %h", uut.rf[6]); + $display("x7 (t2) = %h", uut.rf[7]); + $display("x8 (s0) = %h", uut.rf[8]); + $display("x10 (a0) = %h", uut.rf[10]); + + // Display memory contents + $display("\n=== MEMORY STATE ==="); + begin : memory_display + integer i; + for (i = 0; i < 10; i = i + 1) begin + $display("mem[%0d] = %h", i, uut.dmem[i]); + end + end + + $finish; + end + + // Simple monitor + initial begin + $monitor("Time=%0t: PC=%h, Instr=%h", $time, uut.pc, uut.instr); + end + +endmodule diff --git a/FINAL/program.hex b/FINAL/program.hex new file mode 100644 index 0000000..0769757 --- /dev/null +++ b/FINAL/program.hex @@ -0,0 +1,12 @@ +00000093 // addi x1, x0, 0 - x1 = 0 +00100113 // addi x2, x0, 1 - x2 = 1 +00200193 // addi x3, x0, 2 - x3 = 2 +003081b3 // add x3, x1, x3 - x3 = 0 + 2 = 2 +00420233 // add x4, x4, x4 - x4 = x4 + x4 (should be 0) +00228463 // beq x5, x2, 8 - branch if x5 == x2 (should not take) +00400463 // beq x0, x4, 8 - branch if 0 == 0 (should take) +00a00513 // addi x10, x0, 10 - x10 = 10 (should be skipped) +fff00513 // addi x10, x0, -1 - x10 = -1 (should execute) +00000013 // nop +00000013 // nop +00000013 // nop diff --git a/FINAL/risc_tb b/FINAL/risc_tb new file mode 100755 index 0000000..1159b7b --- /dev/null +++ b/FINAL/risc_tb @@ -0,0 +1,1318 @@ +#! /usr/bin/vvp +:ivl_version "12.0 (stable)"; +:ivl_delay_selection "TYPICAL"; +:vpi_time_precision - 12; +:vpi_module "/usr/lib64/ivl/system.vpi"; +:vpi_module "/usr/lib64/ivl/vhdl_sys.vpi"; +:vpi_module "/usr/lib64/ivl/vhdl_textio.vpi"; +:vpi_module "/usr/lib64/ivl/v2005_math.vpi"; +:vpi_module "/usr/lib64/ivl/va_math.vpi"; +S_0x5574d64b4dc0 .scope module, "RISCCore_tb" "RISCCore_tb" 2 3; + .timescale -9 -12; +v0x5574d64fe3f0_0 .var "clk", 0 0; +v0x5574d64fe4b0_0 .net "instr", 31 0, L_0x5574d650ed30; 1 drivers +v0x5574d64fe550_0 .net "next_pc", 31 0, L_0x5574d652d4e0; 1 drivers +v0x5574d64fe5f0_0 .net "pc", 31 0, v0x5574d64fd230_0; 1 drivers +v0x5574d64fe690_0 .var "rst", 0 0; +S_0x5574d64b4a40 .scope begin, "memory_display" "memory_display" 2 47, 2 47 0, S_0x5574d64b4dc0; + .timescale -9 -12; +v0x5574d64c8720_0 .var/i "i", 31 0; +S_0x5574d64e56f0 .scope module, "uut" "RISCCore" 2 11, 3 1 0, S_0x5574d64b4dc0; + .timescale 0 0; + .port_info 0 /INPUT 1 "rst"; + .port_info 1 /INPUT 1 "clk"; + .port_info 2 /OUTPUT 32 "pc"; + .port_info 3 /OUTPUT 32 "next_pc"; + .port_info 4 /OUTPUT 32 "instr"; +L_0x5574d650f5a0 .functor OR 1, L_0x5574d650fd00, L_0x5574d650fe20, C4<0>, C4<0>; +L_0x5574d64c8600 .functor OR 1, L_0x5574d650fc30, L_0x5574d6510010, C4<0>, C4<0>; +L_0x5574d64c9160 .functor OR 1, L_0x5574d64c8600, L_0x5574d6510290, C4<0>, C4<0>; +L_0x5574d64ca7f0 .functor OR 1, L_0x5574d64c9160, L_0x5574d6510420, C4<0>, C4<0>; +L_0x5574d65107a0 .functor OR 1, L_0x5574d64ca7f0, L_0x5574d65106b0, C4<0>, C4<0>; +L_0x5574d6510ba0 .functor OR 1, L_0x5574d65108b0, L_0x5574d6510a80, C4<0>, C4<0>; +L_0x5574d65110d0 .functor OR 1, L_0x5574d6510e00, L_0x5574d6510fe0, C4<0>, C4<0>; +L_0x5574d6516170 .functor AND 1, L_0x5574d6515d10, L_0x5574d6515e00, C4<1>, C4<1>; +L_0x5574d6516900 .functor AND 1, L_0x5574d65162d0, L_0x5574d65165d0, C4<1>, C4<1>; +L_0x5574d6516b50 .functor AND 1, L_0x5574d6516900, L_0x5574d6516a10, C4<1>, C4<1>; +L_0x5574d6516fb0 .functor AND 1, L_0x5574d65111e0, L_0x5574d6516cc0, C4<1>, C4<1>; +L_0x5574d6517160 .functor AND 1, L_0x5574d65111e0, L_0x5574d6517070, C4<1>, C4<1>; +L_0x5574d65175e0 .functor AND 1, L_0x5574d65111e0, L_0x5574d6517290, C4<1>, C4<1>; +L_0x5574d6517740 .functor AND 1, L_0x5574d65111e0, L_0x5574d6517650, C4<1>, C4<1>; +L_0x5574d6517220 .functor AND 1, L_0x5574d65111e0, L_0x5574d6517880, C4<1>, C4<1>; +L_0x5574d6517d20 .functor AND 1, L_0x5574d65111e0, L_0x5574d6517c30, C4<1>, C4<1>; +L_0x5574d6518210 .functor AND 1, L_0x5574d65107a0, L_0x5574d6517e70, C4<1>, C4<1>; +L_0x5574d6518410 .functor AND 1, L_0x5574d65107a0, L_0x5574d6518320, C4<1>, C4<1>; +L_0x5574d6518a40 .functor AND 1, L_0x5574d6518570, L_0x5574d6518920, C4<1>, C4<1>; +L_0x5574d6518ee0 .functor AND 1, L_0x5574d65107a0, L_0x5574d6518b50, C4<1>, C4<1>; +L_0x5574d6519080 .functor AND 1, L_0x5574d65107a0, L_0x5574d65184d0, C4<1>, C4<1>; +L_0x5574d6519510 .functor AND 1, L_0x5574d65110d0, L_0x5574d6519140, C4<1>, C4<1>; +L_0x5574d65199e0 .functor AND 1, L_0x5574d65110d0, L_0x5574d65196e0, C4<1>, C4<1>; +L_0x5574d6519fa0 .functor AND 1, L_0x5574d6519aa0, L_0x5574d6519e80, C4<1>, C4<1>; +L_0x5574d651a540 .functor AND 1, L_0x5574d6510ba0, L_0x5574d651a180, C4<1>, C4<1>; +L_0x7f2b9dca1f00 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x5574d651a6f0 .functor XNOR 1, L_0x5574d651a650, L_0x7f2b9dca1f00, C4<0>, C4<0>; +L_0x5574d651a910 .functor AND 1, L_0x5574d651a540, L_0x5574d651a6f0, C4<1>, C4<1>; +L_0x5574d651adf0 .functor AND 1, L_0x5574d6510ba0, L_0x5574d651aa20, C4<1>, C4<1>; +L_0x7f2b9dca1f90 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x5574d651b040 .functor XNOR 1, L_0x5574d651afa0, L_0x7f2b9dca1f90, C4<0>, C4<0>; +L_0x5574d651b130 .functor AND 1, L_0x5574d651adf0, L_0x5574d651b040, C4<1>, C4<1>; +L_0x5574d651b810 .functor AND 1, L_0x5574d651b340, L_0x5574d651b720, C4<1>, C4<1>; +L_0x5574d651bd10 .functor AND 1, L_0x5574d651b810, L_0x5574d651b920, C4<1>, C4<1>; +L_0x5574d651c020 .functor AND 1, L_0x5574d65107a0, L_0x5574d651bf30, C4<1>, C4<1>; +L_0x5574d651c510 .functor AND 1, L_0x5574d65107a0, L_0x5574d651c0e0, C4<1>, C4<1>; +L_0x5574d651cc50 .functor AND 1, L_0x5574d651c6f0, L_0x5574d651c810, C4<1>, C4<1>; +L_0x5574d651ce50 .functor AND 1, L_0x5574d651cc50, L_0x5574d651cd60, C4<1>, C4<1>; +L_0x5574d651d4b0 .functor AND 1, L_0x5574d651c5d0, L_0x5574d651d3c0, C4<1>, C4<1>; +L_0x5574d651d9f0 .functor AND 1, L_0x5574d651d4b0, L_0x5574d651d5c0, C4<1>, C4<1>; +L_0x5574d651e1a0 .functor AND 1, L_0x5574d651dc40, L_0x5574d651dd30, C4<1>, C4<1>; +L_0x5574d651e820 .functor AND 1, L_0x5574d651e1a0, L_0x5574d651e350, C4<1>, C4<1>; +L_0x5574d651efd0 .functor AND 1, L_0x5574d651ea80, L_0x5574d651eb70, C4<1>, C4<1>; +L_0x5574d651f670 .functor AND 1, L_0x5574d651efd0, L_0x5574d651f180, C4<1>, C4<1>; +L_0x5574d651fe50 .functor AND 1, L_0x5574d651f8e0, L_0x5574d651f9d0, C4<1>, C4<1>; +L_0x5574d6520510 .functor AND 1, L_0x5574d651fe50, L_0x5574d6520000, C4<1>, C4<1>; +L_0x5574d6520d20 .functor AND 1, L_0x5574d6520790, L_0x5574d6520880, C4<1>, C4<1>; +L_0x5574d6521400 .functor AND 1, L_0x5574d6520e30, L_0x5574d6520f20, C4<1>, C4<1>; +L_0x5574d6521c70 .functor AND 1, L_0x5574d6521690, L_0x5574d6521780, C4<1>, C4<1>; +L_0x5574d6522370 .functor AND 1, L_0x5574d6521d80, L_0x5574d6521e70, C4<1>, C4<1>; +L_0x5574d6522700 .functor AND 1, L_0x5574d6522370, L_0x5574d6522610, C4<1>, C4<1>; +L_0x5574d6523220 .functor AND 1, L_0x5574d6522810, L_0x5574d6522cf0, C4<1>, C4<1>; +L_0x5574d65239c0 .functor AND 1, L_0x5574d6523220, L_0x5574d65234d0, C4<1>, C4<1>; +L_0x5574d65240f0 .functor AND 1, L_0x5574d6523ad0, L_0x5574d6523bc0, C4<1>, C4<1>; +L_0x5574d65244a0 .functor AND 1, L_0x5574d65240f0, L_0x5574d65243b0, C4<1>, C4<1>; +L_0x5574d6524be0 .functor AND 1, L_0x5574d65245b0, L_0x5574d6524ac0, C4<1>, C4<1>; +L_0x5574d65246a0 .functor AND 1, L_0x5574d6524be0, L_0x5574d6524eb0, C4<1>, C4<1>; +L_0x5574d65250c0 .functor AND 1, L_0x5574d6525430, L_0x5574d6524fa0, C4<1>, C4<1>; +L_0x5574d6525800 .functor OR 1, L_0x5574d65256e0, L_0x5574d65255c0, C4<0>, C4<0>; +L_0x5574d6525c40 .functor AND 1, L_0x5574d6525a10, L_0x5574d6525b50, C4<1>, C4<1>; +L_0x5574d6526100 .functor AND 1, L_0x5574d65265a0, L_0x5574d6526640, C4<1>, C4<1>; +L_0x5574d6527130 .functor XNOR 1, L_0x5574d65269b0, L_0x5574d6526a50, C4<0>, C4<0>; +L_0x5574d6528110 .functor AND 32, L_0x5574d6514f60, L_0x5574d6511a90, C4<11111111111111111111111111111111>, C4<11111111111111111111111111111111>; +L_0x5574d65282f0 .functor OR 32, L_0x5574d6514f60, L_0x5574d6511a90, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x5574d6528390 .functor XOR 32, L_0x5574d6514f60, L_0x5574d6511a90, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x5574d65288d0 .functor AND 32, L_0x5574d6514f60, L_0x5574d6515960, C4<11111111111111111111111111111111>, C4<11111111111111111111111111111111>; +L_0x5574d6528940 .functor OR 32, L_0x5574d6514f60, L_0x5574d6515960, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x5574d65289e0 .functor XOR 32, L_0x5574d6514f60, L_0x5574d6515960, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x5574d65295a0 .functor OR 1, L_0x5574d65249c0, L_0x5574d65250c0, C4<0>, C4<0>; +L_0x5574d65297d0 .functor BUFZ 32, L_0x5574d6514f60, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x5574d652c7e0 .functor BUFZ 32, L_0x5574d6515960, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x5574d652cf30 .functor OR 1, L_0x5574d6516170, L_0x5574d6516b50, C4<0>, C4<0>; +L_0x5574d652e300 .functor OR 1, L_0x5574d652cf30, L_0x5574d65246a0, C4<0>, C4<0>; +L_0x5574d652e3c0 .functor OR 1, L_0x5574d652e300, L_0x5574d65249c0, C4<0>, C4<0>; +L_0x5574d652e6d0 .functor OR 1, L_0x5574d652e3c0, L_0x5574d65250c0, C4<0>, C4<0>; +L_0x5574d652e7d0 .functor OR 1, L_0x5574d652e6d0, L_0x5574d651a910, C4<0>, C4<0>; +L_0x5574d652eaa0 .functor OR 1, L_0x5574d652e7d0, L_0x5574d651b130, C4<0>, C4<0>; +L_0x5574d652eb60 .functor OR 1, L_0x5574d652eaa0, L_0x5574d651c020, C4<0>, C4<0>; +L_0x5574d652ee90 .functor OR 1, L_0x5574d652eb60, L_0x5574d651c510, C4<0>, C4<0>; +L_0x5574d652ef50 .functor OR 1, L_0x5574d652ee90, L_0x5574d651bd10, C4<0>, C4<0>; +L_0x5574d652f290 .functor OR 1, L_0x5574d652ef50, L_0x5574d651ce50, C4<0>, C4<0>; +L_0x5574d652f350 .functor OR 1, L_0x5574d652f290, L_0x5574d651d9f0, C4<0>, C4<0>; +L_0x5574d652f6a0 .functor OR 1, L_0x5574d652f350, L_0x5574d651e820, C4<0>, C4<0>; +L_0x5574d652f760 .functor OR 1, L_0x5574d652f6a0, L_0x5574d651f670, C4<0>, C4<0>; +L_0x5574d652fac0 .functor OR 1, L_0x5574d652f760, L_0x5574d6520510, C4<0>, C4<0>; +L_0x5574d652fb80 .functor OR 1, L_0x5574d652fac0, L_0x5574d6518210, C4<0>, C4<0>; +L_0x5574d652ff40 .functor OR 1, L_0x5574d652fb80, L_0x5574d6518410, C4<0>, C4<0>; +L_0x5574d6530050 .functor OR 1, L_0x5574d652ff40, L_0x5574d6518a40, C4<0>, C4<0>; +L_0x5574d6530420 .functor OR 1, L_0x5574d6530050, L_0x5574d6518ee0, C4<0>, C4<0>; +L_0x5574d6530530 .functor OR 1, L_0x5574d6530420, L_0x5574d6519080, C4<0>, C4<0>; +L_0x5574d6530910 .functor OR 1, L_0x5574d6530530, L_0x5574d6521c70, C4<0>, C4<0>; +L_0x5574d65309d0 .functor OR 1, L_0x5574d6530910, L_0x5574d6521400, C4<0>, C4<0>; +L_0x5574d6530d70 .functor OR 1, L_0x5574d65309d0, L_0x5574d6520d20, C4<0>, C4<0>; +L_0x5574d6530e30 .functor OR 1, L_0x5574d6530d70, L_0x5574d65244a0, C4<0>, C4<0>; +L_0x5574d65311e0 .functor OR 1, L_0x5574d6530e30, L_0x5574d65239c0, C4<0>, C4<0>; +L_0x5574d65312a0 .functor OR 1, L_0x5574d65311e0, L_0x5574d6522700, C4<0>, C4<0>; +L_0x5574d6531660 .functor OR 1, L_0x5574d65312a0, L_0x5574d65255c0, C4<0>, C4<0>; +L_0x5574d6531720 .functor OR 1, L_0x5574d6531660, L_0x5574d65247b0, C4<0>, C4<0>; +L_0x5574d6531af0 .functor OR 1, L_0x5574d6531720, L_0x5574d65248a0, C4<0>, C4<0>; +L_0x5574d6531bb0 .functor AND 1, L_0x5574d652df80, L_0x5574d6531af0, C4<1>, C4<1>; +v0x5574d64c9280_0 .net "Bimm", 31 0, L_0x5574d6513370; 1 drivers +v0x5574d64ca910_0 .net "Iimm", 31 0, L_0x5574d6511a90; 1 drivers +v0x5574d64e5a30_0 .net "Jimm", 31 0, L_0x5574d6514300; 1 drivers +v0x5574d64e5af0_0 .net "SErs1_val", 63 0, L_0x5574d65275c0; 1 drivers +v0x5574d64e5bd0_0 .net "Simm", 31 0, L_0x5574d65122c0; 1 drivers +v0x5574d64e5d00_0 .net "Uimm", 31 0, L_0x5574d6513800; 1 drivers +v0x5574d64e5de0_0 .net *"_ivl_1", 29 0, L_0x5574d64fe730; 1 drivers +v0x5574d64e5ec0_0 .net *"_ivl_10", 31 0, L_0x5574d650eb40; 1 drivers +v0x5574d64e5fa0_0 .net *"_ivl_100", 0 0, L_0x5574d6510e00; 1 drivers +L_0x7f2b9dca14e0 .functor BUFT 1, C4<0100111>, C4<0>, C4<0>, C4<0>; +v0x5574d64e6060_0 .net/2u *"_ivl_102", 6 0, L_0x7f2b9dca14e0; 1 drivers +v0x5574d64e6140_0 .net *"_ivl_104", 0 0, L_0x5574d6510fe0; 1 drivers +L_0x7f2b9dca1528 .functor BUFT 1, C4<1100011>, C4<0>, C4<0>, C4<0>; +v0x5574d64e6200_0 .net/2u *"_ivl_108", 6 0, L_0x7f2b9dca1528; 1 drivers +L_0x7f2b9dca1570 .functor BUFT 1, C4<1101111>, C4<0>, C4<0>, C4<0>; +v0x5574d64e62e0_0 .net/2u *"_ivl_112", 6 0, L_0x7f2b9dca1570; 1 drivers +v0x5574d64e63c0_0 .net *"_ivl_117", 0 0, L_0x5574d65114f0; 1 drivers +v0x5574d64e64a0_0 .net *"_ivl_118", 19 0, L_0x5574d65116a0; 1 drivers +v0x5574d64e6580_0 .net *"_ivl_121", 11 0, L_0x5574d65118d0; 1 drivers +v0x5574d64e6660_0 .net *"_ivl_125", 0 0, L_0x5574d6511bb0; 1 drivers +v0x5574d64e6740_0 .net *"_ivl_126", 19 0, L_0x5574d6511970; 1 drivers +v0x5574d64e6820_0 .net *"_ivl_129", 6 0, L_0x5574d6512040; 1 drivers +v0x5574d64e6900_0 .net *"_ivl_13", 29 0, L_0x5574d650ec10; 1 drivers +v0x5574d64e69e0_0 .net *"_ivl_131", 4 0, L_0x5574d6512220; 1 drivers +v0x5574d64e6ac0_0 .net *"_ivl_135", 0 0, L_0x5574d65125b0; 1 drivers +v0x5574d64e6ba0_0 .net *"_ivl_136", 18 0, L_0x5574d6512650; 1 drivers +v0x5574d64e6c80_0 .net *"_ivl_139", 0 0, L_0x5574d6512b60; 1 drivers +L_0x7f2b9dca10a8 .functor BUFT 1, C4<00000000000000000000000000010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64e6d60_0 .net/2u *"_ivl_14", 31 0, L_0x7f2b9dca10a8; 1 drivers +v0x5574d64e6e40_0 .net *"_ivl_141", 0 0, L_0x5574d6512c00; 1 drivers +v0x5574d64e6f20_0 .net *"_ivl_143", 5 0, L_0x5574d6513020; 1 drivers +v0x5574d64e7000_0 .net *"_ivl_145", 3 0, L_0x5574d65130f0; 1 drivers +L_0x7f2b9dca15b8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x5574d64e70e0_0 .net/2u *"_ivl_146", 0 0, L_0x7f2b9dca15b8; 1 drivers +v0x5574d64e71c0_0 .net *"_ivl_151", 19 0, L_0x5574d65135d0; 1 drivers +L_0x7f2b9dca1600 .functor BUFT 1, C4<000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e72a0_0 .net/2u *"_ivl_152", 11 0, L_0x7f2b9dca1600; 1 drivers +v0x5574d64e7380_0 .net *"_ivl_157", 0 0, L_0x5574d6513940; 1 drivers +v0x5574d64e7460_0 .net *"_ivl_158", 10 0, L_0x5574d6513b80; 1 drivers +v0x5574d64e7750_0 .net *"_ivl_161", 0 0, L_0x5574d6513ce0; 1 drivers +v0x5574d64e7830_0 .net *"_ivl_163", 7 0, L_0x5574d6513f30; 1 drivers +v0x5574d64e7910_0 .net *"_ivl_165", 0 0, L_0x5574d6513fd0; 1 drivers +v0x5574d64e79f0_0 .net *"_ivl_167", 9 0, L_0x5574d6514230; 1 drivers +L_0x7f2b9dca1648 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x5574d64e7ad0_0 .net/2u *"_ivl_168", 0 0, L_0x7f2b9dca1648; 1 drivers +v0x5574d64e7bb0_0 .net *"_ivl_172", 31 0, L_0x5574d6514730; 1 drivers +L_0x7f2b9dca1690 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e7c90_0 .net *"_ivl_175", 26 0, L_0x7f2b9dca1690; 1 drivers +L_0x7f2b9dca16d8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e7d70_0 .net/2u *"_ivl_176", 31 0, L_0x7f2b9dca16d8; 1 drivers +v0x5574d64e7e50_0 .net *"_ivl_178", 0 0, L_0x5574d6514870; 1 drivers +v0x5574d64e7f10_0 .net *"_ivl_180", 31 0, L_0x5574d6514b90; 1 drivers +v0x5574d64e7ff0_0 .net *"_ivl_182", 6 0, L_0x5574d6514c30; 1 drivers +L_0x7f2b9dca1720 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0x5574d64e80d0_0 .net *"_ivl_185", 1 0, L_0x7f2b9dca1720; 1 drivers +L_0x7f2b9dca1768 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e81b0_0 .net/2u *"_ivl_186", 31 0, L_0x7f2b9dca1768; 1 drivers +v0x5574d64e8290_0 .net *"_ivl_190", 31 0, L_0x5574d65150f0; 1 drivers +L_0x7f2b9dca17b0 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e8370_0 .net *"_ivl_193", 26 0, L_0x7f2b9dca17b0; 1 drivers +L_0x7f2b9dca17f8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e8450_0 .net/2u *"_ivl_194", 31 0, L_0x7f2b9dca17f8; 1 drivers +v0x5574d64e8530_0 .net *"_ivl_196", 0 0, L_0x5574d6515430; 1 drivers +v0x5574d64e85f0_0 .net *"_ivl_198", 31 0, L_0x5574d6515570; 1 drivers +v0x5574d64e86d0_0 .net *"_ivl_2", 31 0, L_0x5574d64fe850; 1 drivers +v0x5574d64e87b0_0 .net *"_ivl_20", 31 0, L_0x5574d650eff0; 1 drivers +v0x5574d64e8890_0 .net *"_ivl_200", 6 0, L_0x5574d6515820; 1 drivers +L_0x7f2b9dca1840 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0x5574d64e8970_0 .net *"_ivl_203", 1 0, L_0x7f2b9dca1840; 1 drivers +L_0x7f2b9dca1888 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e8a50_0 .net/2u *"_ivl_204", 31 0, L_0x7f2b9dca1888; 1 drivers +L_0x7f2b9dca18d0 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64e8b30_0 .net/2u *"_ivl_208", 6 0, L_0x7f2b9dca18d0; 1 drivers +v0x5574d64e8c10_0 .net *"_ivl_210", 0 0, L_0x5574d6515d10; 1 drivers +L_0x7f2b9dca1918 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e8cd0_0 .net/2u *"_ivl_212", 2 0, L_0x7f2b9dca1918; 1 drivers +v0x5574d64e8db0_0 .net *"_ivl_214", 0 0, L_0x5574d6515e00; 1 drivers +L_0x7f2b9dca1960 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64e8e70_0 .net/2u *"_ivl_218", 6 0, L_0x7f2b9dca1960; 1 drivers +v0x5574d64e8f50_0 .net *"_ivl_220", 0 0, L_0x5574d65162d0; 1 drivers +L_0x7f2b9dca19a8 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9010_0 .net/2u *"_ivl_222", 2 0, L_0x7f2b9dca19a8; 1 drivers +v0x5574d64e90f0_0 .net *"_ivl_224", 0 0, L_0x5574d65165d0; 1 drivers +v0x5574d64e91b0_0 .net *"_ivl_227", 0 0, L_0x5574d6516900; 1 drivers +L_0x7f2b9dca19f0 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9270_0 .net/2u *"_ivl_228", 6 0, L_0x7f2b9dca19f0; 1 drivers +L_0x7f2b9dca10f0 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9350_0 .net *"_ivl_23", 26 0, L_0x7f2b9dca10f0; 1 drivers +v0x5574d64e9430_0 .net *"_ivl_230", 0 0, L_0x5574d6516a10; 1 drivers +L_0x7f2b9dca1a38 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e94f0_0 .net/2u *"_ivl_234", 2 0, L_0x7f2b9dca1a38; 1 drivers +v0x5574d64e95d0_0 .net *"_ivl_236", 0 0, L_0x5574d6516cc0; 1 drivers +L_0x7f2b9dca1138 .functor BUFT 1, C4<00000000000000000000000000100000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9690_0 .net/2u *"_ivl_24", 31 0, L_0x7f2b9dca1138; 1 drivers +L_0x7f2b9dca1a80 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9770_0 .net/2u *"_ivl_240", 2 0, L_0x7f2b9dca1a80; 1 drivers +v0x5574d64e9850_0 .net *"_ivl_242", 0 0, L_0x5574d6517070; 1 drivers +L_0x7f2b9dca1ac8 .functor BUFT 1, C4<100>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9910_0 .net/2u *"_ivl_246", 2 0, L_0x7f2b9dca1ac8; 1 drivers +v0x5574d64e99f0_0 .net *"_ivl_248", 0 0, L_0x5574d6517290; 1 drivers +L_0x7f2b9dca1b10 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9ab0_0 .net/2u *"_ivl_252", 2 0, L_0x7f2b9dca1b10; 1 drivers +v0x5574d64e9b90_0 .net *"_ivl_254", 0 0, L_0x5574d6517650; 1 drivers +L_0x7f2b9dca1b58 .functor BUFT 1, C4<110>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9c50_0 .net/2u *"_ivl_258", 2 0, L_0x7f2b9dca1b58; 1 drivers +v0x5574d64e9d30_0 .net *"_ivl_26", 0 0, L_0x5574d650f1d0; 1 drivers +v0x5574d64e9df0_0 .net *"_ivl_260", 0 0, L_0x5574d6517880; 1 drivers +L_0x7f2b9dca1ba0 .functor BUFT 1, C4<111>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9eb0_0 .net/2u *"_ivl_264", 2 0, L_0x7f2b9dca1ba0; 1 drivers +v0x5574d64e9f90_0 .net *"_ivl_266", 0 0, L_0x5574d6517c30; 1 drivers +L_0x7f2b9dca1be8 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x5574d64ea050_0 .net/2u *"_ivl_270", 2 0, L_0x7f2b9dca1be8; 1 drivers +v0x5574d64ea130_0 .net *"_ivl_272", 0 0, L_0x5574d6517e70; 1 drivers +L_0x7f2b9dca1c30 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x5574d64ea1f0_0 .net/2u *"_ivl_276", 2 0, L_0x7f2b9dca1c30; 1 drivers +v0x5574d64ea2d0_0 .net *"_ivl_278", 0 0, L_0x5574d6518320; 1 drivers +v0x5574d64ea390_0 .net *"_ivl_28", 31 0, L_0x5574d650f310; 1 drivers +L_0x7f2b9dca1c78 .functor BUFT 1, C4<0000011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ea470_0 .net/2u *"_ivl_282", 6 0, L_0x7f2b9dca1c78; 1 drivers +v0x5574d64ea550_0 .net *"_ivl_284", 0 0, L_0x5574d6518570; 1 drivers +L_0x7f2b9dca1cc0 .functor BUFT 1, C4<010>, C4<0>, C4<0>, C4<0>; +v0x5574d64ea610_0 .net/2u *"_ivl_286", 2 0, L_0x7f2b9dca1cc0; 1 drivers +v0x5574d64ea6f0_0 .net *"_ivl_288", 0 0, L_0x5574d6518920; 1 drivers +L_0x7f2b9dca1d08 .functor BUFT 1, C4<100>, C4<0>, C4<0>, C4<0>; +v0x5574d64ea7b0_0 .net/2u *"_ivl_292", 2 0, L_0x7f2b9dca1d08; 1 drivers +v0x5574d64ea890_0 .net *"_ivl_294", 0 0, L_0x5574d6518b50; 1 drivers +L_0x7f2b9dca1d50 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x5574d64ea950_0 .net/2u *"_ivl_298", 2 0, L_0x7f2b9dca1d50; 1 drivers +v0x5574d64eaa30_0 .net *"_ivl_30", 6 0, L_0x5574d650f410; 1 drivers +v0x5574d64eab10_0 .net *"_ivl_300", 0 0, L_0x5574d65184d0; 1 drivers +L_0x7f2b9dca1d98 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x5574d64eabd0_0 .net/2u *"_ivl_304", 2 0, L_0x7f2b9dca1d98; 1 drivers +v0x5574d64eacb0_0 .net *"_ivl_306", 0 0, L_0x5574d6519140; 1 drivers +L_0x7f2b9dca1de0 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x5574d64ead70_0 .net/2u *"_ivl_310", 2 0, L_0x7f2b9dca1de0; 1 drivers +v0x5574d64eae50_0 .net *"_ivl_312", 0 0, L_0x5574d65196e0; 1 drivers +L_0x7f2b9dca1e28 .functor BUFT 1, C4<0100011>, C4<0>, C4<0>, C4<0>; +v0x5574d64eaf10_0 .net/2u *"_ivl_316", 6 0, L_0x7f2b9dca1e28; 1 drivers +v0x5574d64eaff0_0 .net *"_ivl_318", 0 0, L_0x5574d6519aa0; 1 drivers +L_0x7f2b9dca1e70 .functor BUFT 1, C4<010>, C4<0>, C4<0>, C4<0>; +v0x5574d64eb0b0_0 .net/2u *"_ivl_320", 2 0, L_0x7f2b9dca1e70; 1 drivers +v0x5574d64eb190_0 .net *"_ivl_322", 0 0, L_0x5574d6519e80; 1 drivers +L_0x7f2b9dca1eb8 .functor BUFT 1, C4<010>, C4<0>, C4<0>, C4<0>; +v0x5574d64eb250_0 .net/2u *"_ivl_326", 2 0, L_0x7f2b9dca1eb8; 1 drivers +v0x5574d64eb330_0 .net *"_ivl_328", 0 0, L_0x5574d651a180; 1 drivers +L_0x7f2b9dca1180 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0x5574d64eb3f0_0 .net *"_ivl_33", 1 0, L_0x7f2b9dca1180; 1 drivers +v0x5574d64eb4d0_0 .net *"_ivl_331", 0 0, L_0x5574d651a540; 1 drivers +v0x5574d64eb590_0 .net *"_ivl_333", 0 0, L_0x5574d651a650; 1 drivers +v0x5574d64eb670_0 .net/2u *"_ivl_334", 0 0, L_0x7f2b9dca1f00; 1 drivers +v0x5574d64eb750_0 .net *"_ivl_336", 0 0, L_0x5574d651a6f0; 1 drivers +L_0x7f2b9dca11c8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64eb810_0 .net/2u *"_ivl_34", 31 0, L_0x7f2b9dca11c8; 1 drivers +L_0x7f2b9dca1f48 .functor BUFT 1, C4<011>, C4<0>, C4<0>, C4<0>; +v0x5574d64eb8f0_0 .net/2u *"_ivl_340", 2 0, L_0x7f2b9dca1f48; 1 drivers +v0x5574d64eb9d0_0 .net *"_ivl_342", 0 0, L_0x5574d651aa20; 1 drivers +v0x5574d64eba90_0 .net *"_ivl_345", 0 0, L_0x5574d651adf0; 1 drivers +v0x5574d64ebb50_0 .net *"_ivl_347", 0 0, L_0x5574d651afa0; 1 drivers +v0x5574d64ebc30_0 .net/2u *"_ivl_348", 0 0, L_0x7f2b9dca1f90; 1 drivers +v0x5574d64ebd10_0 .net *"_ivl_350", 0 0, L_0x5574d651b040; 1 drivers +L_0x7f2b9dca1fd8 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ebdd0_0 .net/2u *"_ivl_354", 6 0, L_0x7f2b9dca1fd8; 1 drivers +v0x5574d64ebeb0_0 .net *"_ivl_356", 0 0, L_0x5574d651b340; 1 drivers +L_0x7f2b9dca2020 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x5574d64ebf70_0 .net/2u *"_ivl_358", 2 0, L_0x7f2b9dca2020; 1 drivers +v0x5574d64ec050_0 .net *"_ivl_360", 0 0, L_0x5574d651b720; 1 drivers +v0x5574d64ec110_0 .net *"_ivl_363", 0 0, L_0x5574d651b810; 1 drivers +L_0x7f2b9dca2068 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64ec1d0_0 .net/2u *"_ivl_364", 6 0, L_0x7f2b9dca2068; 1 drivers +v0x5574d64ec2b0_0 .net *"_ivl_366", 0 0, L_0x5574d651b920; 1 drivers +L_0x7f2b9dca20b0 .functor BUFT 1, C4<010>, C4<0>, C4<0>, C4<0>; +v0x5574d64ec370_0 .net/2u *"_ivl_370", 2 0, L_0x7f2b9dca20b0; 1 drivers +v0x5574d64ec450_0 .net *"_ivl_372", 0 0, L_0x5574d651bf30; 1 drivers +L_0x7f2b9dca20f8 .functor BUFT 1, C4<011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ec510_0 .net/2u *"_ivl_376", 2 0, L_0x7f2b9dca20f8; 1 drivers +v0x5574d64ec5f0_0 .net *"_ivl_378", 0 0, L_0x5574d651c0e0; 1 drivers +L_0x7f2b9dca2140 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ecec0_0 .net/2u *"_ivl_382", 6 0, L_0x7f2b9dca2140; 1 drivers +v0x5574d64ecfa0_0 .net *"_ivl_384", 0 0, L_0x5574d651c6f0; 1 drivers +L_0x7f2b9dca2188 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x5574d64ed060_0 .net/2u *"_ivl_386", 2 0, L_0x7f2b9dca2188; 1 drivers +v0x5574d64ed140_0 .net *"_ivl_388", 0 0, L_0x5574d651c810; 1 drivers +v0x5574d64ed200_0 .net *"_ivl_391", 0 0, L_0x5574d651cc50; 1 drivers +L_0x7f2b9dca21d0 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64ed2c0_0 .net/2u *"_ivl_392", 6 0, L_0x7f2b9dca21d0; 1 drivers +v0x5574d64ed3a0_0 .net *"_ivl_394", 0 0, L_0x5574d651cd60; 1 drivers +L_0x7f2b9dca2218 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ed460_0 .net/2u *"_ivl_398", 6 0, L_0x7f2b9dca2218; 1 drivers +v0x5574d64ed540_0 .net *"_ivl_400", 0 0, L_0x5574d651c5d0; 1 drivers +L_0x7f2b9dca2260 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x5574d64ed600_0 .net/2u *"_ivl_402", 2 0, L_0x7f2b9dca2260; 1 drivers +v0x5574d64ed6e0_0 .net *"_ivl_404", 0 0, L_0x5574d651d3c0; 1 drivers +v0x5574d64ed7a0_0 .net *"_ivl_407", 0 0, L_0x5574d651d4b0; 1 drivers +L_0x7f2b9dca22a8 .functor BUFT 1, C4<0100000>, C4<0>, C4<0>, C4<0>; +v0x5574d64ed860_0 .net/2u *"_ivl_408", 6 0, L_0x7f2b9dca22a8; 1 drivers +v0x5574d64ed940_0 .net *"_ivl_410", 0 0, L_0x5574d651d5c0; 1 drivers +L_0x7f2b9dca22f0 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64eda00_0 .net/2u *"_ivl_414", 6 0, L_0x7f2b9dca22f0; 1 drivers +v0x5574d64edae0_0 .net *"_ivl_416", 0 0, L_0x5574d651dc40; 1 drivers +L_0x7f2b9dca2338 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x5574d64edba0_0 .net/2u *"_ivl_418", 2 0, L_0x7f2b9dca2338; 1 drivers +v0x5574d64edc80_0 .net *"_ivl_420", 0 0, L_0x5574d651dd30; 1 drivers +v0x5574d64edd40_0 .net *"_ivl_423", 0 0, L_0x5574d651e1a0; 1 drivers +v0x5574d64ede00_0 .net *"_ivl_425", 5 0, L_0x5574d651e2b0; 1 drivers +L_0x7f2b9dca2380 .functor BUFT 1, C4<000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64edee0_0 .net/2u *"_ivl_426", 5 0, L_0x7f2b9dca2380; 1 drivers +v0x5574d64edfc0_0 .net *"_ivl_428", 0 0, L_0x5574d651e350; 1 drivers +L_0x7f2b9dca23c8 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ee080_0 .net/2u *"_ivl_432", 6 0, L_0x7f2b9dca23c8; 1 drivers +v0x5574d64ee160_0 .net *"_ivl_434", 0 0, L_0x5574d651ea80; 1 drivers +L_0x7f2b9dca2410 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x5574d64ee220_0 .net/2u *"_ivl_436", 2 0, L_0x7f2b9dca2410; 1 drivers +v0x5574d64ee300_0 .net *"_ivl_438", 0 0, L_0x5574d651eb70; 1 drivers +v0x5574d64ee3c0_0 .net *"_ivl_441", 0 0, L_0x5574d651efd0; 1 drivers +v0x5574d64ee480_0 .net *"_ivl_443", 5 0, L_0x5574d651f0e0; 1 drivers +L_0x7f2b9dca2458 .functor BUFT 1, C4<000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64ee560_0 .net/2u *"_ivl_444", 5 0, L_0x7f2b9dca2458; 1 drivers +v0x5574d64ee640_0 .net *"_ivl_446", 0 0, L_0x5574d651f180; 1 drivers +L_0x7f2b9dca24a0 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ee700_0 .net/2u *"_ivl_450", 6 0, L_0x7f2b9dca24a0; 1 drivers +v0x5574d64ee7e0_0 .net *"_ivl_452", 0 0, L_0x5574d651f8e0; 1 drivers +L_0x7f2b9dca24e8 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x5574d64ee8a0_0 .net/2u *"_ivl_454", 2 0, L_0x7f2b9dca24e8; 1 drivers +v0x5574d64ee980_0 .net *"_ivl_456", 0 0, L_0x5574d651f9d0; 1 drivers +v0x5574d64eea40_0 .net *"_ivl_459", 0 0, L_0x5574d651fe50; 1 drivers +v0x5574d64eeb00_0 .net *"_ivl_461", 5 0, L_0x5574d651ff60; 1 drivers +L_0x7f2b9dca2530 .functor BUFT 1, C4<010000>, C4<0>, C4<0>, C4<0>; +v0x5574d64eebe0_0 .net/2u *"_ivl_462", 5 0, L_0x7f2b9dca2530; 1 drivers +v0x5574d64eecc0_0 .net *"_ivl_464", 0 0, L_0x5574d6520000; 1 drivers +L_0x7f2b9dca2578 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64eed80_0 .net/2u *"_ivl_468", 6 0, L_0x7f2b9dca2578; 1 drivers +v0x5574d64eee60_0 .net *"_ivl_470", 0 0, L_0x5574d6520790; 1 drivers +L_0x7f2b9dca25c0 .functor BUFT 1, C4<111>, C4<0>, C4<0>, C4<0>; +v0x5574d64eef20_0 .net/2u *"_ivl_472", 2 0, L_0x7f2b9dca25c0; 1 drivers +v0x5574d64ef000_0 .net *"_ivl_474", 0 0, L_0x5574d6520880; 1 drivers +L_0x7f2b9dca2608 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ef0c0_0 .net/2u *"_ivl_478", 6 0, L_0x7f2b9dca2608; 1 drivers +v0x5574d64ef1a0_0 .net *"_ivl_480", 0 0, L_0x5574d6520e30; 1 drivers +L_0x7f2b9dca2650 .functor BUFT 1, C4<110>, C4<0>, C4<0>, C4<0>; +v0x5574d64ef260_0 .net/2u *"_ivl_482", 2 0, L_0x7f2b9dca2650; 1 drivers +v0x5574d64ef340_0 .net *"_ivl_484", 0 0, L_0x5574d6520f20; 1 drivers +L_0x7f2b9dca2698 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ef400_0 .net/2u *"_ivl_488", 6 0, L_0x7f2b9dca2698; 1 drivers +v0x5574d64ef4e0_0 .net *"_ivl_490", 0 0, L_0x5574d6521690; 1 drivers +L_0x7f2b9dca26e0 .functor BUFT 1, C4<100>, C4<0>, C4<0>, C4<0>; +v0x5574d64ef5a0_0 .net/2u *"_ivl_492", 2 0, L_0x7f2b9dca26e0; 1 drivers +v0x5574d64ef680_0 .net *"_ivl_494", 0 0, L_0x5574d6521780; 1 drivers +L_0x7f2b9dca2728 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ef740_0 .net/2u *"_ivl_498", 6 0, L_0x7f2b9dca2728; 1 drivers +L_0x7f2b9dca1018 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0x5574d64ef820_0 .net *"_ivl_5", 1 0, L_0x7f2b9dca1018; 1 drivers +L_0x7f2b9dca1210 .functor BUFT 1, C4<0110111>, C4<0>, C4<0>, C4<0>; +v0x5574d64ef900_0 .net/2u *"_ivl_50", 6 0, L_0x7f2b9dca1210; 1 drivers +v0x5574d64ef9e0_0 .net *"_ivl_500", 0 0, L_0x5574d6521d80; 1 drivers +L_0x7f2b9dca2770 .functor BUFT 1, C4<111>, C4<0>, C4<0>, C4<0>; +v0x5574d64efaa0_0 .net/2u *"_ivl_502", 2 0, L_0x7f2b9dca2770; 1 drivers +v0x5574d64efb80_0 .net *"_ivl_504", 0 0, L_0x5574d6521e70; 1 drivers +v0x5574d64efc40_0 .net *"_ivl_507", 0 0, L_0x5574d6522370; 1 drivers +L_0x7f2b9dca27b8 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64efd00_0 .net/2u *"_ivl_508", 6 0, L_0x7f2b9dca27b8; 1 drivers +v0x5574d64efde0_0 .net *"_ivl_510", 0 0, L_0x5574d6522610; 1 drivers +L_0x7f2b9dca2800 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64efea0_0 .net/2u *"_ivl_514", 6 0, L_0x7f2b9dca2800; 1 drivers +v0x5574d64eff80_0 .net *"_ivl_516", 0 0, L_0x5574d6522810; 1 drivers +L_0x7f2b9dca2848 .functor BUFT 1, C4<110>, C4<0>, C4<0>, C4<0>; +v0x5574d64f0040_0 .net/2u *"_ivl_518", 2 0, L_0x7f2b9dca2848; 1 drivers +v0x5574d64f0120_0 .net *"_ivl_52", 0 0, L_0x5574d650fd00; 1 drivers +v0x5574d64f01e0_0 .net *"_ivl_520", 0 0, L_0x5574d6522cf0; 1 drivers +v0x5574d64f02a0_0 .net *"_ivl_523", 0 0, L_0x5574d6523220; 1 drivers +L_0x7f2b9dca2890 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f0360_0 .net/2u *"_ivl_524", 6 0, L_0x7f2b9dca2890; 1 drivers +v0x5574d64f0440_0 .net *"_ivl_526", 0 0, L_0x5574d65234d0; 1 drivers +L_0x7f2b9dca28d8 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f0500_0 .net/2u *"_ivl_530", 6 0, L_0x7f2b9dca28d8; 1 drivers +v0x5574d64f05e0_0 .net *"_ivl_532", 0 0, L_0x5574d6523ad0; 1 drivers +L_0x7f2b9dca2920 .functor BUFT 1, C4<100>, C4<0>, C4<0>, C4<0>; +v0x5574d64f06a0_0 .net/2u *"_ivl_534", 2 0, L_0x7f2b9dca2920; 1 drivers +v0x5574d64f0780_0 .net *"_ivl_536", 0 0, L_0x5574d6523bc0; 1 drivers +v0x5574d64f0840_0 .net *"_ivl_539", 0 0, L_0x5574d65240f0; 1 drivers +L_0x7f2b9dca1258 .functor BUFT 1, C4<0010111>, C4<0>, C4<0>, C4<0>; +v0x5574d64f0900_0 .net/2u *"_ivl_54", 6 0, L_0x7f2b9dca1258; 1 drivers +L_0x7f2b9dca2968 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f09e0_0 .net/2u *"_ivl_540", 6 0, L_0x7f2b9dca2968; 1 drivers +v0x5574d64f0ac0_0 .net *"_ivl_542", 0 0, L_0x5574d65243b0; 1 drivers +L_0x7f2b9dca29b0 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f0b80_0 .net/2u *"_ivl_546", 6 0, L_0x7f2b9dca29b0; 1 drivers +v0x5574d64f0c60_0 .net *"_ivl_548", 0 0, L_0x5574d65245b0; 1 drivers +L_0x7f2b9dca29f8 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f0d20_0 .net/2u *"_ivl_550", 2 0, L_0x7f2b9dca29f8; 1 drivers +v0x5574d64f0e00_0 .net *"_ivl_552", 0 0, L_0x5574d6524ac0; 1 drivers +v0x5574d64f0ec0_0 .net *"_ivl_555", 0 0, L_0x5574d6524be0; 1 drivers +L_0x7f2b9dca2a40 .functor BUFT 1, C4<0100000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f0f80_0 .net/2u *"_ivl_556", 6 0, L_0x7f2b9dca2a40; 1 drivers +v0x5574d64f1060_0 .net *"_ivl_558", 0 0, L_0x5574d6524eb0; 1 drivers +v0x5574d64f1120_0 .net *"_ivl_56", 0 0, L_0x5574d650fe20; 1 drivers +L_0x7f2b9dca2a88 .functor BUFT 1, C4<0110111>, C4<0>, C4<0>, C4<0>; +v0x5574d64f11e0_0 .net/2u *"_ivl_562", 6 0, L_0x7f2b9dca2a88; 1 drivers +L_0x7f2b9dca2ad0 .functor BUFT 1, C4<0010111>, C4<0>, C4<0>, C4<0>; +v0x5574d64f12c0_0 .net/2u *"_ivl_566", 6 0, L_0x7f2b9dca2ad0; 1 drivers +L_0x7f2b9dca2b18 .functor BUFT 1, C4<1101111>, C4<0>, C4<0>, C4<0>; +v0x5574d64f13a0_0 .net/2u *"_ivl_570", 6 0, L_0x7f2b9dca2b18; 1 drivers +L_0x7f2b9dca2b60 .functor BUFT 1, C4<1100111>, C4<0>, C4<0>, C4<0>; +v0x5574d64f1480_0 .net/2u *"_ivl_574", 6 0, L_0x7f2b9dca2b60; 1 drivers +v0x5574d64f1560_0 .net *"_ivl_576", 0 0, L_0x5574d6525430; 1 drivers +L_0x7f2b9dca2ba8 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f1620_0 .net/2u *"_ivl_578", 2 0, L_0x7f2b9dca2ba8; 1 drivers +v0x5574d64f1700_0 .net *"_ivl_580", 0 0, L_0x5574d6524fa0; 1 drivers +L_0x7f2b9dca2bf0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f17c0_0 .net/2u *"_ivl_584", 31 0, L_0x7f2b9dca2bf0; 1 drivers +L_0x7f2b9dca2c38 .functor BUFT 1, C4<0000011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f18a0_0 .net/2u *"_ivl_588", 6 0, L_0x7f2b9dca2c38; 1 drivers +L_0x7f2b9dca2c80 .functor BUFT 1, C4<0100011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f1980_0 .net/2u *"_ivl_592", 6 0, L_0x7f2b9dca2c80; 1 drivers +L_0x7f2b9dca2cc8 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f1a60_0 .net/2u *"_ivl_598", 30 0, L_0x7f2b9dca2cc8; 1 drivers +L_0x7f2b9dca1060 .functor BUFT 1, C4<00000000000000000000000001000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f1b40_0 .net/2u *"_ivl_6", 31 0, L_0x7f2b9dca1060; 1 drivers +L_0x7f2b9dca12a0 .functor BUFT 1, C4<0000011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f1c20_0 .net/2u *"_ivl_60", 6 0, L_0x7f2b9dca12a0; 1 drivers +v0x5574d64f1d00_0 .net *"_ivl_600", 0 0, L_0x5574d6525e80; 1 drivers +v0x5574d64f1dc0_0 .net *"_ivl_605", 0 0, L_0x5574d6525a10; 1 drivers +v0x5574d64f1ea0_0 .net *"_ivl_607", 0 0, L_0x5574d6525ab0; 1 drivers +v0x5574d64f1f80_0 .net *"_ivl_609", 0 0, L_0x5574d6525b50; 1 drivers +v0x5574d64f2040_0 .net *"_ivl_611", 0 0, L_0x5574d6525c40; 1 drivers +L_0x7f2b9dca2d10 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>; +v0x5574d64f2100_0 .net/2u *"_ivl_612", 31 0, L_0x7f2b9dca2d10; 1 drivers +v0x5574d64f21e0_0 .net *"_ivl_615", 0 0, L_0x5574d6525d50; 1 drivers +v0x5574d64f22c0_0 .net *"_ivl_617", 0 0, L_0x5574d65265a0; 1 drivers +v0x5574d64f2380_0 .net *"_ivl_619", 0 0, L_0x5574d6526640; 1 drivers +v0x5574d64f2460_0 .net *"_ivl_62", 0 0, L_0x5574d650fc30; 1 drivers +v0x5574d64f2520_0 .net *"_ivl_621", 0 0, L_0x5574d6526100; 1 drivers +L_0x7f2b9dca2d58 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f25e0_0 .net/2u *"_ivl_622", 31 0, L_0x7f2b9dca2d58; 1 drivers +v0x5574d64f26c0_0 .net *"_ivl_624", 0 0, L_0x5574d65261c0; 1 drivers +v0x5574d64f2780_0 .net *"_ivl_626", 31 0, L_0x5574d6526260; 1 drivers +L_0x7f2b9dca2da0 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f2860_0 .net *"_ivl_629", 30 0, L_0x7f2b9dca2da0; 1 drivers +v0x5574d64f2940_0 .net *"_ivl_630", 31 0, L_0x5574d65263a0; 1 drivers +L_0x7f2b9dca2de8 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f2a20_0 .net/2u *"_ivl_634", 30 0, L_0x7f2b9dca2de8; 1 drivers +v0x5574d64f2b00_0 .net *"_ivl_636", 62 0, L_0x5574d6526780; 1 drivers +L_0x7f2b9dca12e8 .functor BUFT 1, C4<0000111>, C4<0>, C4<0>, C4<0>; +v0x5574d64f2be0_0 .net/2u *"_ivl_64", 6 0, L_0x7f2b9dca12e8; 1 drivers +v0x5574d64f2cc0_0 .net *"_ivl_641", 0 0, L_0x5574d65269b0; 1 drivers +v0x5574d64f2da0_0 .net *"_ivl_643", 0 0, L_0x5574d6526a50; 1 drivers +v0x5574d64f2e80_0 .net *"_ivl_644", 0 0, L_0x5574d6527130; 1 drivers +L_0x7f2b9dca2e30 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f2f40_0 .net/2u *"_ivl_646", 30 0, L_0x7f2b9dca2e30; 1 drivers +v0x5574d64f3020_0 .net *"_ivl_649", 0 0, L_0x5574d65273e0; 1 drivers +v0x5574d64f3100_0 .net *"_ivl_650", 31 0, L_0x5574d6527480; 1 drivers +v0x5574d64f31e0_0 .net *"_ivl_655", 0 0, L_0x5574d6526dd0; 1 drivers +v0x5574d64f32c0_0 .net *"_ivl_656", 31 0, L_0x5574d6526e70; 1 drivers +v0x5574d64f33a0_0 .net *"_ivl_658", 0 0, L_0x5574d6526f60; 1 drivers +v0x5574d64f3460_0 .net *"_ivl_66", 0 0, L_0x5574d6510010; 1 drivers +v0x5574d64f3520_0 .net *"_ivl_660", 32 0, L_0x5574d6527000; 1 drivers +L_0x7f2b9dca2e78 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f3600_0 .net *"_ivl_665", 30 0, L_0x7f2b9dca2e78; 1 drivers +v0x5574d64f36e0_0 .net *"_ivl_667", 4 0, L_0x5574d6527700; 1 drivers +v0x5574d64ec6d0_0 .net *"_ivl_668", 63 0, L_0x5574d65277a0; 1 drivers +v0x5574d64ec7b0_0 .net *"_ivl_673", 4 0, L_0x5574d65279d0; 1 drivers +v0x5574d64ec890_0 .net *"_ivl_674", 63 0, L_0x5574d6528430; 1 drivers +L_0x7f2b9dca2ec0 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64ec970_0 .net/2u *"_ivl_678", 30 0, L_0x7f2b9dca2ec0; 1 drivers +v0x5574d64eca50_0 .net *"_ivl_680", 0 0, L_0x5574d6527ee0; 1 drivers +v0x5574d64ecb10_0 .net *"_ivl_684", 31 0, L_0x5574d6528070; 1 drivers +v0x5574d64ecbf0_0 .net *"_ivl_686", 31 0, L_0x5574d6528180; 1 drivers +v0x5574d64eccd0_0 .net *"_ivl_688", 31 0, L_0x5574d6528220; 1 drivers +v0x5574d64ecdb0_0 .net *"_ivl_69", 0 0, L_0x5574d64c8600; 1 drivers +v0x5574d64f4790_0 .net *"_ivl_690", 31 0, L_0x5574d6528110; 1 drivers +v0x5574d64f4850_0 .net *"_ivl_692", 31 0, L_0x5574d65282f0; 1 drivers +v0x5574d64f4930_0 .net *"_ivl_694", 31 0, L_0x5574d6528390; 1 drivers +v0x5574d64f4a10_0 .net *"_ivl_697", 4 0, L_0x5574d6528d40; 1 drivers +v0x5574d64f4af0_0 .net *"_ivl_698", 31 0, L_0x5574d65285c0; 1 drivers +L_0x7f2b9dca1330 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f4bd0_0 .net/2u *"_ivl_70", 6 0, L_0x7f2b9dca1330; 1 drivers +v0x5574d64f4cb0_0 .net *"_ivl_701", 4 0, L_0x5574d65286e0; 1 drivers +v0x5574d64f4d90_0 .net *"_ivl_702", 31 0, L_0x5574d65287b0; 1 drivers +v0x5574d64f4e70_0 .net *"_ivl_704", 31 0, L_0x5574d65288d0; 1 drivers +v0x5574d64f4f50_0 .net *"_ivl_706", 31 0, L_0x5574d6528940; 1 drivers +v0x5574d64f5030_0 .net *"_ivl_708", 31 0, L_0x5574d65289e0; 1 drivers +v0x5574d64f5110_0 .net *"_ivl_710", 31 0, L_0x5574d6528a80; 1 drivers +v0x5574d64f51f0_0 .net *"_ivl_713", 4 0, L_0x5574d6528de0; 1 drivers +v0x5574d64f52d0_0 .net *"_ivl_714", 31 0, L_0x5574d6528eb0; 1 drivers +v0x5574d64f53b0_0 .net *"_ivl_717", 4 0, L_0x5574d6528fd0; 1 drivers +v0x5574d64f5490_0 .net *"_ivl_718", 31 0, L_0x5574d6529070; 1 drivers +v0x5574d64f5570_0 .net *"_ivl_72", 0 0, L_0x5574d6510290; 1 drivers +v0x5574d64f5630_0 .net *"_ivl_721", 19 0, L_0x5574d6529190; 1 drivers +L_0x7f2b9dca2f08 .functor BUFT 1, C4<000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f5710_0 .net/2u *"_ivl_722", 11 0, L_0x7f2b9dca2f08; 1 drivers +v0x5574d64f57f0_0 .net *"_ivl_724", 31 0, L_0x5574d6529260; 1 drivers +v0x5574d64f58d0_0 .net *"_ivl_726", 31 0, L_0x5574d6529640; 1 drivers +v0x5574d64f59b0_0 .net *"_ivl_729", 0 0, L_0x5574d65295a0; 1 drivers +L_0x7f2b9dca2f50 .functor BUFT 1, C4<00000000000000000000000000000100>, C4<0>, C4<0>, C4<0>; +v0x5574d64f5a70_0 .net/2u *"_ivl_730", 31 0, L_0x7f2b9dca2f50; 1 drivers +v0x5574d64f5b50_0 .net *"_ivl_732", 31 0, L_0x5574d6529730; 1 drivers +v0x5574d64f5c30_0 .net *"_ivl_735", 31 0, L_0x5574d6529890; 1 drivers +v0x5574d64f5d10_0 .net *"_ivl_737", 31 0, L_0x5574d6529980; 1 drivers +L_0x7f2b9dca2f98 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f5df0_0 .net/2u *"_ivl_738", 31 0, L_0x7f2b9dca2f98; 1 drivers +v0x5574d64f5ed0_0 .net *"_ivl_740", 31 0, L_0x5574d6529a70; 1 drivers +v0x5574d64f5fb0_0 .net *"_ivl_742", 31 0, L_0x5574d652a2b0; 1 drivers +v0x5574d64f6090_0 .net *"_ivl_744", 31 0, L_0x5574d6529d50; 1 drivers +v0x5574d64f6170_0 .net *"_ivl_746", 31 0, L_0x5574d6529ee0; 1 drivers +v0x5574d64f6250_0 .net *"_ivl_748", 31 0, L_0x5574d652a070; 1 drivers +v0x5574d64f6330_0 .net *"_ivl_75", 0 0, L_0x5574d64c9160; 1 drivers +v0x5574d64f63f0_0 .net *"_ivl_750", 31 0, L_0x5574d652a970; 1 drivers +v0x5574d64f64d0_0 .net *"_ivl_752", 31 0, L_0x5574d652a3f0; 1 drivers +v0x5574d64f65b0_0 .net *"_ivl_754", 31 0, L_0x5574d652a580; 1 drivers +v0x5574d64f6690_0 .net *"_ivl_756", 31 0, L_0x5574d652a710; 1 drivers +v0x5574d64f6770_0 .net *"_ivl_758", 31 0, L_0x5574d652a8a0; 1 drivers +L_0x7f2b9dca1378 .functor BUFT 1, C4<0011011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f6850_0 .net/2u *"_ivl_76", 6 0, L_0x7f2b9dca1378; 1 drivers +v0x5574d64f6930_0 .net *"_ivl_760", 31 0, L_0x5574d652b150; 1 drivers +v0x5574d64f6a10_0 .net *"_ivl_762", 31 0, L_0x5574d652b2e0; 1 drivers +v0x5574d64f6af0_0 .net *"_ivl_764", 31 0, L_0x5574d652aab0; 1 drivers +v0x5574d64f6bd0_0 .net *"_ivl_766", 31 0, L_0x5574d652ac40; 1 drivers +v0x5574d64f6cb0_0 .net *"_ivl_768", 31 0, L_0x5574d652add0; 1 drivers +v0x5574d64f6d90_0 .net *"_ivl_770", 31 0, L_0x5574d652af60; 1 drivers +v0x5574d64f6e70_0 .net *"_ivl_772", 31 0, L_0x5574d652baa0; 1 drivers +v0x5574d64f6f50_0 .net *"_ivl_774", 31 0, L_0x5574d652bc30; 1 drivers +v0x5574d64f7030_0 .net *"_ivl_776", 31 0, L_0x5574d652b470; 1 drivers +v0x5574d64f7110_0 .net *"_ivl_778", 31 0, L_0x5574d652b5b0; 1 drivers +v0x5574d64f71f0_0 .net *"_ivl_78", 0 0, L_0x5574d6510420; 1 drivers +v0x5574d64f72b0_0 .net *"_ivl_780", 31 0, L_0x5574d652b740; 1 drivers +v0x5574d64f7390_0 .net *"_ivl_782", 31 0, L_0x5574d652b8d0; 1 drivers +v0x5574d64f7470_0 .net *"_ivl_790", 0 0, L_0x5574d652c850; 1 drivers +v0x5574d64f7530_0 .net *"_ivl_792", 0 0, L_0x5574d652bcd0; 1 drivers +v0x5574d64f75f0_0 .net *"_ivl_794", 0 0, L_0x5574d652bd70; 1 drivers +v0x5574d64f76b0_0 .net *"_ivl_796", 0 0, L_0x5574d652beb0; 1 drivers +v0x5574d64f7770_0 .net *"_ivl_798", 0 0, L_0x5574d652bf50; 1 drivers +v0x5574d64f7830_0 .net *"_ivl_8", 0 0, L_0x5574d650e9d0; 1 drivers +v0x5574d64f78f0_0 .net *"_ivl_800", 0 0, L_0x5574d652bff0; 1 drivers +L_0x7f2b9dca2fe0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x5574d64f79b0_0 .net/2u *"_ivl_802", 0 0, L_0x7f2b9dca2fe0; 1 drivers +v0x5574d64f7a90_0 .net *"_ivl_804", 0 0, L_0x5574d652c090; 1 drivers +v0x5574d64f7b70_0 .net *"_ivl_806", 0 0, L_0x5574d652c220; 1 drivers +v0x5574d64f7c50_0 .net *"_ivl_808", 0 0, L_0x5574d652d030; 1 drivers +v0x5574d64f7d30_0 .net *"_ivl_81", 0 0, L_0x5574d64ca7f0; 1 drivers +v0x5574d64f7df0_0 .net *"_ivl_810", 0 0, L_0x5574d652c9e0; 1 drivers +v0x5574d64f7ed0_0 .net *"_ivl_812", 0 0, L_0x5574d652cb70; 1 drivers +L_0x7f2b9dca3028 .functor BUFT 1, C4<00000000000000000000000000000100>, C4<0>, C4<0>, C4<0>; +v0x5574d64f7fb0_0 .net/2u *"_ivl_818", 31 0, L_0x7f2b9dca3028; 1 drivers +L_0x7f2b9dca13c0 .functor BUFT 1, C4<1100111>, C4<0>, C4<0>, C4<0>; +v0x5574d64f8090_0 .net/2u *"_ivl_82", 6 0, L_0x7f2b9dca13c0; 1 drivers +v0x5574d64f8170_0 .net *"_ivl_824", 31 0, L_0x5574d652d260; 1 drivers +v0x5574d64f8250_0 .net *"_ivl_826", 31 0, L_0x5574d652d3a0; 1 drivers +v0x5574d64f8330_0 .net *"_ivl_830", 31 0, L_0x5574d652d670; 1 drivers +L_0x7f2b9dca3070 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f8410_0 .net *"_ivl_833", 26 0, L_0x7f2b9dca3070; 1 drivers +L_0x7f2b9dca30b8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f84f0_0 .net/2u *"_ivl_834", 31 0, L_0x7f2b9dca30b8; 1 drivers +v0x5574d64f85d0_0 .net *"_ivl_836", 0 0, L_0x5574d652df80; 1 drivers +v0x5574d64f8690_0 .net *"_ivl_839", 0 0, L_0x5574d652cf30; 1 drivers +v0x5574d64f8750_0 .net *"_ivl_84", 0 0, L_0x5574d65106b0; 1 drivers +v0x5574d64f8810_0 .net *"_ivl_841", 0 0, L_0x5574d652e300; 1 drivers +v0x5574d64f88d0_0 .net *"_ivl_843", 0 0, L_0x5574d652e3c0; 1 drivers +v0x5574d64f8990_0 .net *"_ivl_845", 0 0, L_0x5574d652e6d0; 1 drivers +v0x5574d64f8a50_0 .net *"_ivl_847", 0 0, L_0x5574d652e7d0; 1 drivers +v0x5574d64f8b10_0 .net *"_ivl_849", 0 0, L_0x5574d652eaa0; 1 drivers +v0x5574d64f8bd0_0 .net *"_ivl_851", 0 0, L_0x5574d652eb60; 1 drivers +v0x5574d64f8c90_0 .net *"_ivl_853", 0 0, L_0x5574d652ee90; 1 drivers +v0x5574d64f8d50_0 .net *"_ivl_855", 0 0, L_0x5574d652ef50; 1 drivers +v0x5574d64f8e10_0 .net *"_ivl_857", 0 0, L_0x5574d652f290; 1 drivers +v0x5574d64f8ed0_0 .net *"_ivl_859", 0 0, L_0x5574d652f350; 1 drivers +v0x5574d64f8f90_0 .net *"_ivl_861", 0 0, L_0x5574d652f6a0; 1 drivers +v0x5574d64f9050_0 .net *"_ivl_863", 0 0, L_0x5574d652f760; 1 drivers +v0x5574d64f9110_0 .net *"_ivl_865", 0 0, L_0x5574d652fac0; 1 drivers +v0x5574d64f91d0_0 .net *"_ivl_867", 0 0, L_0x5574d652fb80; 1 drivers +v0x5574d64f9290_0 .net *"_ivl_869", 0 0, L_0x5574d652ff40; 1 drivers +v0x5574d64f9350_0 .net *"_ivl_871", 0 0, L_0x5574d6530050; 1 drivers +v0x5574d64f9410_0 .net *"_ivl_873", 0 0, L_0x5574d6530420; 1 drivers +v0x5574d64f94d0_0 .net *"_ivl_875", 0 0, L_0x5574d6530530; 1 drivers +v0x5574d64f9590_0 .net *"_ivl_877", 0 0, L_0x5574d6530910; 1 drivers +v0x5574d64f9650_0 .net *"_ivl_879", 0 0, L_0x5574d65309d0; 1 drivers +L_0x7f2b9dca1408 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f9710_0 .net/2u *"_ivl_88", 6 0, L_0x7f2b9dca1408; 1 drivers +v0x5574d64f97f0_0 .net *"_ivl_881", 0 0, L_0x5574d6530d70; 1 drivers +v0x5574d64f98b0_0 .net *"_ivl_883", 0 0, L_0x5574d6530e30; 1 drivers +v0x5574d64f9970_0 .net *"_ivl_885", 0 0, L_0x5574d65311e0; 1 drivers +v0x5574d64f9a30_0 .net *"_ivl_887", 0 0, L_0x5574d65312a0; 1 drivers +v0x5574d64f9af0_0 .net *"_ivl_889", 0 0, L_0x5574d6531660; 1 drivers +v0x5574d64f9bb0_0 .net *"_ivl_891", 0 0, L_0x5574d6531720; 1 drivers +v0x5574d64f9c70_0 .net *"_ivl_893", 0 0, L_0x5574d6531af0; 1 drivers +v0x5574d64f9d30_0 .net *"_ivl_90", 0 0, L_0x5574d65108b0; 1 drivers +L_0x7f2b9dca1450 .functor BUFT 1, C4<0111011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f9df0_0 .net/2u *"_ivl_92", 6 0, L_0x7f2b9dca1450; 1 drivers +v0x5574d64f9ed0_0 .net *"_ivl_94", 0 0, L_0x5574d6510a80; 1 drivers +L_0x7f2b9dca1498 .functor BUFT 1, C4<0100011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f9f90_0 .net/2u *"_ivl_98", 6 0, L_0x7f2b9dca1498; 1 drivers +v0x5574d64fa070_0 .net "alu_result", 31 0, L_0x5574d652c420; 1 drivers +v0x5574d64fa150_0 .net "branch_taken", 0 0, L_0x5574d652cd00; 1 drivers +v0x5574d64fa210_0 .net "branch_target", 31 0, L_0x5574d652ce90; 1 drivers +v0x5574d64fa2f0_0 .net "clk", 0 0, v0x5574d64fe3f0_0; 1 drivers +v0x5574d64fa3b0 .array "dmem", 31 0, 31 0; +v0x5574d64fa470_0 .net "funct3", 2 0, L_0x5574d650fa90; 1 drivers +v0x5574d64fa550_0 .net "funct7", 6 0, L_0x5574d650fb60; 1 drivers +v0x5574d64fa630_0 .var/i "i", 31 0; +v0x5574d64fa710 .array "imem", 63 0, 31 0; +v0x5574d64fa7d0_0 .net "instr", 31 0, L_0x5574d650ed30; alias, 1 drivers +v0x5574d64fa8b0_0 .net "isADD", 0 0, L_0x5574d6516b50; 1 drivers +v0x5574d64fa970_0 .net "isADDI", 0 0, L_0x5574d6516170; 1 drivers +v0x5574d64faa30_0 .net "isAND", 0 0, L_0x5574d6522700; 1 drivers +v0x5574d64faaf0_0 .net "isANDI", 0 0, L_0x5574d6520d20; 1 drivers +v0x5574d64fabb0_0 .net "isAUIPC", 0 0, L_0x5574d65248a0; 1 drivers +v0x5574d64fac70_0 .net "isBEQ", 0 0, L_0x5574d6516fb0; 1 drivers +v0x5574d64fad30_0 .net "isBGE", 0 0, L_0x5574d6517740; 1 drivers +v0x5574d64fadf0_0 .net "isBGEU", 0 0, L_0x5574d6517d20; 1 drivers +v0x5574d64faeb0_0 .net "isBLT", 0 0, L_0x5574d65175e0; 1 drivers +v0x5574d64faf70_0 .net "isBLTU", 0 0, L_0x5574d6517220; 1 drivers +v0x5574d64fb030_0 .net "isBNE", 0 0, L_0x5574d6517160; 1 drivers +v0x5574d64fb0f0_0 .net "isBType", 0 0, L_0x5574d65111e0; 1 drivers +v0x5574d64fb1b0_0 .net "isIType", 0 0, L_0x5574d65107a0; 1 drivers +v0x5574d64fb270_0 .net "isJAL", 0 0, L_0x5574d65249c0; 1 drivers +v0x5574d64fb330_0 .net "isJALR", 0 0, L_0x5574d65250c0; 1 drivers +v0x5574d64fb3f0_0 .net "isJType", 0 0, L_0x5574d65113d0; 1 drivers +v0x5574d64fb4b0_0 .net "isLB", 0 0, L_0x5574d6518210; 1 drivers +v0x5574d64fb570_0 .net "isLBU", 0 0, L_0x5574d6518ee0; 1 drivers +v0x5574d64fb630_0 .net "isLH", 0 0, L_0x5574d6518410; 1 drivers +v0x5574d64fb6f0_0 .net "isLHU", 0 0, L_0x5574d6519080; 1 drivers +v0x5574d64fb7b0_0 .net "isLUI", 0 0, L_0x5574d65247b0; 1 drivers +v0x5574d64fb870_0 .net "isLW", 0 0, L_0x5574d6518a40; 1 drivers +v0x5574d64fb930_0 .net "isOR", 0 0, L_0x5574d65239c0; 1 drivers +v0x5574d64fb9f0_0 .net "isORI", 0 0, L_0x5574d6521400; 1 drivers +v0x5574d64fbab0_0 .net "isRType", 0 0, L_0x5574d6510ba0; 1 drivers +v0x5574d64fbb70_0 .net "isSB", 0 0, L_0x5574d6519510; 1 drivers +v0x5574d64fbc30_0 .net "isSH", 0 0, L_0x5574d65199e0; 1 drivers +v0x5574d64fbcf0_0 .net "isSLL", 0 0, L_0x5574d651bd10; 1 drivers +v0x5574d64fbdb0_0 .net "isSLLI", 0 0, L_0x5574d651e820; 1 drivers +v0x5574d64fbe70_0 .net "isSLT", 0 0, L_0x5574d651a910; 1 drivers +v0x5574d64fbf30_0 .net "isSLTI", 0 0, L_0x5574d651c020; 1 drivers +v0x5574d64fbff0_0 .net "isSLTIU", 0 0, L_0x5574d651c510; 1 drivers +v0x5574d64fc0b0_0 .net "isSLTU", 0 0, L_0x5574d651b130; 1 drivers +v0x5574d64fc170_0 .net "isSRA", 0 0, L_0x5574d651d9f0; 1 drivers +v0x5574d64fc230_0 .net "isSRAI", 0 0, L_0x5574d6520510; 1 drivers +v0x5574d64fc2f0_0 .net "isSRL", 0 0, L_0x5574d651ce50; 1 drivers +v0x5574d64fc3b0_0 .net "isSRLI", 0 0, L_0x5574d651f670; 1 drivers +v0x5574d64fc470_0 .net "isSType", 0 0, L_0x5574d65110d0; 1 drivers +v0x5574d64fc530_0 .net "isSUB", 0 0, L_0x5574d65246a0; 1 drivers +v0x5574d64fc5f0_0 .net "isSW", 0 0, L_0x5574d6519fa0; 1 drivers +v0x5574d64fc6b0_0 .net "isUType", 0 0, L_0x5574d650f5a0; 1 drivers +v0x5574d64fc770_0 .net "isXOR", 0 0, L_0x5574d65244a0; 1 drivers +v0x5574d64fc830_0 .net "isXORI", 0 0, L_0x5574d6521c70; 1 drivers +v0x5574d64fc8f0_0 .net "is_load", 0 0, L_0x5574d65255c0; 1 drivers +v0x5574d64fc9b0_0 .net "is_mem_op", 0 0, L_0x5574d6525800; 1 drivers +v0x5574d64fca70_0 .net "is_store", 0 0, L_0x5574d65256e0; 1 drivers +v0x5574d64fcb30_0 .var/i "j", 31 0; +v0x5574d64fcc10_0 .net "jalr_tgt_pc", 31 0, L_0x5574d652d1c0; 1 drivers +v0x5574d64fccf0_0 .net "ld_data", 31 0, L_0x5574d650f500; 1 drivers +v0x5574d64fcdd0_0 .var "load_data", 31 0; +v0x5574d64fceb0_0 .net "mem_addr", 31 0, L_0x5574d6525970; 1 drivers +v0x5574d64fcf90_0 .net "next_pc", 31 0, L_0x5574d652d4e0; alias, 1 drivers +v0x5574d64fd070_0 .net "next_pc_base", 31 0, L_0x5574d652d0d0; 1 drivers +v0x5574d64fd150_0 .net "opcode", 6 0, L_0x5574d650f700; 1 drivers +v0x5574d64fd230_0 .var "pc", 31 0; +v0x5574d64fd310_0 .net "rd", 4 0, L_0x5574d650f960; 1 drivers +v0x5574d64fd3f0 .array "rf", 31 0, 31 0; +v0x5574d64fd4b0_0 .net "rf_write_enable", 0 0, L_0x5574d6531bb0; 1 drivers +v0x5574d64fd570_0 .net "rs1", 4 0, L_0x5574d650f7a0; 1 drivers +v0x5574d64fd650_0 .net "rs1_val", 31 0, L_0x5574d6514f60; 1 drivers +v0x5574d64fd730_0 .net "rs2", 4 0, L_0x5574d650f8c0; 1 drivers +v0x5574d64fd810_0 .net "rs2_val", 31 0, L_0x5574d6515960; 1 drivers +v0x5574d64fd8f0_0 .net "rst", 0 0, v0x5574d64fe690_0; 1 drivers +v0x5574d64fd9b0_0 .net/s "signed_rs1", 31 0, L_0x5574d65297d0; 1 drivers +v0x5574d64fda90_0 .net/s "signed_rs2", 31 0, L_0x5574d652c7e0; 1 drivers +v0x5574d64fdb70_0 .net "signed_slt", 31 0, L_0x5574d6526ba0; 1 drivers +v0x5574d64fdc50_0 .net "slt_rslt", 31 0, L_0x5574d65268c0; 1 drivers +v0x5574d64fdd30_0 .net "slti_rslt", 31 0, L_0x5574d6526c40; 1 drivers +v0x5574d64fde10_0 .net "sltiu_rslt", 31 0, L_0x5574d6527f80; 1 drivers +v0x5574d64fdef0_0 .net "sltu_rslt", 31 0, L_0x5574d6525fc0; 1 drivers +v0x5574d64fdfd0_0 .net "sra_rslt", 63 0, L_0x5574d65278e0; 1 drivers +v0x5574d64fe0b0_0 .net "srai_rslt", 63 0, L_0x5574d65284d0; 1 drivers +v0x5574d64fe190_0 .net "word_addr", 4 0, L_0x5574d650ef50; 1 drivers +v0x5574d64fe270_0 .net "writeback_data", 31 0, L_0x5574d6531fe0; 1 drivers +E_0x5574d644e830 .event posedge, v0x5574d64fa2f0_0; +E_0x5574d6404aa0/0 .event anyedge, v0x5574d64fd8f0_0, v0x5574d64fca70_0, v0x5574d64fe190_0, v0x5574d64fceb0_0; +E_0x5574d6404aa0/1 .event anyedge, v0x5574d64fa470_0, v0x5574d64fd810_0; +E_0x5574d6404aa0 .event/or E_0x5574d6404aa0/0, E_0x5574d6404aa0/1; +E_0x5574d64d0c70 .event anyedge, v0x5574d64fceb0_0, v0x5574d64fa470_0, v0x5574d64fccf0_0, v0x5574d64fc8f0_0; +L_0x5574d64fe730 .part v0x5574d64fd230_0, 2, 30; +L_0x5574d64fe850 .concat [ 30 2 0 0], L_0x5574d64fe730, L_0x7f2b9dca1018; +L_0x5574d650e9d0 .cmp/gt 32, L_0x7f2b9dca1060, L_0x5574d64fe850; +L_0x5574d650eb40 .array/port v0x5574d64fa710, L_0x5574d650ec10; +L_0x5574d650ec10 .part v0x5574d64fd230_0, 2, 30; +L_0x5574d650ed30 .functor MUXZ 32, L_0x7f2b9dca10a8, L_0x5574d650eb40, L_0x5574d650e9d0, C4<>; +L_0x5574d650ef50 .part L_0x5574d6525970, 2, 5; +L_0x5574d650eff0 .concat [ 5 27 0 0], L_0x5574d650ef50, L_0x7f2b9dca10f0; +L_0x5574d650f1d0 .cmp/gt 32, L_0x7f2b9dca1138, L_0x5574d650eff0; +L_0x5574d650f310 .array/port v0x5574d64fa3b0, L_0x5574d650f410; +L_0x5574d650f410 .concat [ 5 2 0 0], L_0x5574d650ef50, L_0x7f2b9dca1180; +L_0x5574d650f500 .functor MUXZ 32, L_0x7f2b9dca11c8, L_0x5574d650f310, L_0x5574d650f1d0, C4<>; +L_0x5574d650f700 .part L_0x5574d650ed30, 0, 7; +L_0x5574d650f7a0 .part L_0x5574d650ed30, 15, 5; +L_0x5574d650f8c0 .part L_0x5574d650ed30, 20, 5; +L_0x5574d650f960 .part L_0x5574d650ed30, 7, 5; +L_0x5574d650fa90 .part L_0x5574d650ed30, 12, 3; +L_0x5574d650fb60 .part L_0x5574d650ed30, 25, 7; +L_0x5574d650fd00 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1210; +L_0x5574d650fe20 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1258; +L_0x5574d650fc30 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca12a0; +L_0x5574d6510010 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca12e8; +L_0x5574d6510290 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1330; +L_0x5574d6510420 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1378; +L_0x5574d65106b0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca13c0; +L_0x5574d65108b0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1408; +L_0x5574d6510a80 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1450; +L_0x5574d6510e00 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1498; +L_0x5574d6510fe0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca14e0; +L_0x5574d65111e0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1528; +L_0x5574d65113d0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1570; +L_0x5574d65114f0 .part L_0x5574d650ed30, 31, 1; +LS_0x5574d65116a0_0_0 .concat [ 1 1 1 1], L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0; +LS_0x5574d65116a0_0_4 .concat [ 1 1 1 1], L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0; +LS_0x5574d65116a0_0_8 .concat [ 1 1 1 1], L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0; +LS_0x5574d65116a0_0_12 .concat [ 1 1 1 1], L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0; +LS_0x5574d65116a0_0_16 .concat [ 1 1 1 1], L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0; +LS_0x5574d65116a0_1_0 .concat [ 4 4 4 4], LS_0x5574d65116a0_0_0, LS_0x5574d65116a0_0_4, LS_0x5574d65116a0_0_8, LS_0x5574d65116a0_0_12; +LS_0x5574d65116a0_1_4 .concat [ 4 0 0 0], LS_0x5574d65116a0_0_16; +L_0x5574d65116a0 .concat [ 16 4 0 0], LS_0x5574d65116a0_1_0, LS_0x5574d65116a0_1_4; +L_0x5574d65118d0 .part L_0x5574d650ed30, 20, 12; +L_0x5574d6511a90 .concat [ 12 20 0 0], L_0x5574d65118d0, L_0x5574d65116a0; +L_0x5574d6511bb0 .part L_0x5574d650ed30, 31, 1; +LS_0x5574d6511970_0_0 .concat [ 1 1 1 1], L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0; +LS_0x5574d6511970_0_4 .concat [ 1 1 1 1], L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0; +LS_0x5574d6511970_0_8 .concat [ 1 1 1 1], L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0; +LS_0x5574d6511970_0_12 .concat [ 1 1 1 1], L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0; +LS_0x5574d6511970_0_16 .concat [ 1 1 1 1], L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0; +LS_0x5574d6511970_1_0 .concat [ 4 4 4 4], LS_0x5574d6511970_0_0, LS_0x5574d6511970_0_4, LS_0x5574d6511970_0_8, LS_0x5574d6511970_0_12; +LS_0x5574d6511970_1_4 .concat [ 4 0 0 0], LS_0x5574d6511970_0_16; +L_0x5574d6511970 .concat [ 16 4 0 0], LS_0x5574d6511970_1_0, LS_0x5574d6511970_1_4; +L_0x5574d6512040 .part L_0x5574d650ed30, 25, 7; +L_0x5574d6512220 .part L_0x5574d650ed30, 7, 5; +L_0x5574d65122c0 .concat [ 5 7 20 0], L_0x5574d6512220, L_0x5574d6512040, L_0x5574d6511970; +L_0x5574d65125b0 .part L_0x5574d650ed30, 31, 1; +LS_0x5574d6512650_0_0 .concat [ 1 1 1 1], L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0; +LS_0x5574d6512650_0_4 .concat [ 1 1 1 1], L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0; +LS_0x5574d6512650_0_8 .concat [ 1 1 1 1], L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0; +LS_0x5574d6512650_0_12 .concat [ 1 1 1 1], L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0; +LS_0x5574d6512650_0_16 .concat [ 1 1 1 0], L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0; +LS_0x5574d6512650_1_0 .concat [ 4 4 4 4], LS_0x5574d6512650_0_0, LS_0x5574d6512650_0_4, LS_0x5574d6512650_0_8, LS_0x5574d6512650_0_12; +LS_0x5574d6512650_1_4 .concat [ 3 0 0 0], LS_0x5574d6512650_0_16; +L_0x5574d6512650 .concat [ 16 3 0 0], LS_0x5574d6512650_1_0, LS_0x5574d6512650_1_4; +L_0x5574d6512b60 .part L_0x5574d650ed30, 31, 1; +L_0x5574d6512c00 .part L_0x5574d650ed30, 7, 1; +L_0x5574d6513020 .part L_0x5574d650ed30, 25, 6; +L_0x5574d65130f0 .part L_0x5574d650ed30, 8, 4; +LS_0x5574d6513370_0_0 .concat [ 1 4 6 1], L_0x7f2b9dca15b8, L_0x5574d65130f0, L_0x5574d6513020, L_0x5574d6512c00; +LS_0x5574d6513370_0_4 .concat [ 1 19 0 0], L_0x5574d6512b60, L_0x5574d6512650; +L_0x5574d6513370 .concat [ 12 20 0 0], LS_0x5574d6513370_0_0, LS_0x5574d6513370_0_4; +L_0x5574d65135d0 .part L_0x5574d650ed30, 12, 20; +L_0x5574d6513800 .concat [ 12 20 0 0], L_0x7f2b9dca1600, L_0x5574d65135d0; +L_0x5574d6513940 .part L_0x5574d650ed30, 31, 1; +LS_0x5574d6513b80_0_0 .concat [ 1 1 1 1], L_0x5574d6513940, L_0x5574d6513940, L_0x5574d6513940, L_0x5574d6513940; +LS_0x5574d6513b80_0_4 .concat [ 1 1 1 1], L_0x5574d6513940, L_0x5574d6513940, L_0x5574d6513940, L_0x5574d6513940; +LS_0x5574d6513b80_0_8 .concat [ 1 1 1 0], L_0x5574d6513940, L_0x5574d6513940, L_0x5574d6513940; +L_0x5574d6513b80 .concat [ 4 4 3 0], LS_0x5574d6513b80_0_0, LS_0x5574d6513b80_0_4, LS_0x5574d6513b80_0_8; +L_0x5574d6513ce0 .part L_0x5574d650ed30, 31, 1; +L_0x5574d6513f30 .part L_0x5574d650ed30, 12, 8; +L_0x5574d6513fd0 .part L_0x5574d650ed30, 20, 1; +L_0x5574d6514230 .part L_0x5574d650ed30, 21, 10; +LS_0x5574d6514300_0_0 .concat [ 1 10 1 8], L_0x7f2b9dca1648, L_0x5574d6514230, L_0x5574d6513fd0, L_0x5574d6513f30; +LS_0x5574d6514300_0_4 .concat [ 1 11 0 0], L_0x5574d6513ce0, L_0x5574d6513b80; +L_0x5574d6514300 .concat [ 20 12 0 0], LS_0x5574d6514300_0_0, LS_0x5574d6514300_0_4; +L_0x5574d6514730 .concat [ 5 27 0 0], L_0x5574d650f7a0, L_0x7f2b9dca1690; +L_0x5574d6514870 .cmp/ne 32, L_0x5574d6514730, L_0x7f2b9dca16d8; +L_0x5574d6514b90 .array/port v0x5574d64fd3f0, L_0x5574d6514c30; +L_0x5574d6514c30 .concat [ 5 2 0 0], L_0x5574d650f7a0, L_0x7f2b9dca1720; +L_0x5574d6514f60 .functor MUXZ 32, L_0x7f2b9dca1768, L_0x5574d6514b90, L_0x5574d6514870, C4<>; +L_0x5574d65150f0 .concat [ 5 27 0 0], L_0x5574d650f8c0, L_0x7f2b9dca17b0; +L_0x5574d6515430 .cmp/ne 32, L_0x5574d65150f0, L_0x7f2b9dca17f8; +L_0x5574d6515570 .array/port v0x5574d64fd3f0, L_0x5574d6515820; +L_0x5574d6515820 .concat [ 5 2 0 0], L_0x5574d650f8c0, L_0x7f2b9dca1840; +L_0x5574d6515960 .functor MUXZ 32, L_0x7f2b9dca1888, L_0x5574d6515570, L_0x5574d6515430, C4<>; +L_0x5574d6515d10 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca18d0; +L_0x5574d6515e00 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1918; +L_0x5574d65162d0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1960; +L_0x5574d65165d0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca19a8; +L_0x5574d6516a10 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca19f0; +L_0x5574d6516cc0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1a38; +L_0x5574d6517070 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1a80; +L_0x5574d6517290 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1ac8; +L_0x5574d6517650 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1b10; +L_0x5574d6517880 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1b58; +L_0x5574d6517c30 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1ba0; +L_0x5574d6517e70 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1be8; +L_0x5574d6518320 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1c30; +L_0x5574d6518570 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1c78; +L_0x5574d6518920 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1cc0; +L_0x5574d6518b50 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1d08; +L_0x5574d65184d0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1d50; +L_0x5574d6519140 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1d98; +L_0x5574d65196e0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1de0; +L_0x5574d6519aa0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1e28; +L_0x5574d6519e80 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1e70; +L_0x5574d651a180 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1eb8; +L_0x5574d651a650 .part L_0x5574d650fb60, 5, 1; +L_0x5574d651aa20 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1f48; +L_0x5574d651afa0 .part L_0x5574d650fb60, 5, 1; +L_0x5574d651b340 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1fd8; +L_0x5574d651b720 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2020; +L_0x5574d651b920 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca2068; +L_0x5574d651bf30 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca20b0; +L_0x5574d651c0e0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca20f8; +L_0x5574d651c6f0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2140; +L_0x5574d651c810 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2188; +L_0x5574d651cd60 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca21d0; +L_0x5574d651c5d0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2218; +L_0x5574d651d3c0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2260; +L_0x5574d651d5c0 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca22a8; +L_0x5574d651dc40 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca22f0; +L_0x5574d651dd30 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2338; +L_0x5574d651e2b0 .part L_0x5574d650fb60, 1, 6; +L_0x5574d651e350 .cmp/eq 6, L_0x5574d651e2b0, L_0x7f2b9dca2380; +L_0x5574d651ea80 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca23c8; +L_0x5574d651eb70 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2410; +L_0x5574d651f0e0 .part L_0x5574d650fb60, 1, 6; +L_0x5574d651f180 .cmp/eq 6, L_0x5574d651f0e0, L_0x7f2b9dca2458; +L_0x5574d651f8e0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca24a0; +L_0x5574d651f9d0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca24e8; +L_0x5574d651ff60 .part L_0x5574d650fb60, 1, 6; +L_0x5574d6520000 .cmp/eq 6, L_0x5574d651ff60, L_0x7f2b9dca2530; +L_0x5574d6520790 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2578; +L_0x5574d6520880 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca25c0; +L_0x5574d6520e30 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2608; +L_0x5574d6520f20 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2650; +L_0x5574d6521690 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2698; +L_0x5574d6521780 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca26e0; +L_0x5574d6521d80 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2728; +L_0x5574d6521e70 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2770; +L_0x5574d6522610 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca27b8; +L_0x5574d6522810 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2800; +L_0x5574d6522cf0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2848; +L_0x5574d65234d0 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca2890; +L_0x5574d6523ad0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca28d8; +L_0x5574d6523bc0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2920; +L_0x5574d65243b0 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca2968; +L_0x5574d65245b0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca29b0; +L_0x5574d6524ac0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca29f8; +L_0x5574d6524eb0 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca2a40; +L_0x5574d65247b0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2a88; +L_0x5574d65248a0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2ad0; +L_0x5574d65249c0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2b18; +L_0x5574d6525430 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2b60; +L_0x5574d6524fa0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2ba8; +L_0x5574d6525970 .functor MUXZ 32, L_0x7f2b9dca2bf0, L_0x5574d652c420, L_0x5574d6525800, C4<>; +L_0x5574d65255c0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2c38; +L_0x5574d65256e0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2c80; +L_0x5574d6525e80 .cmp/gt 32, L_0x5574d6515960, L_0x5574d6514f60; +L_0x5574d6525fc0 .concat [ 1 31 0 0], L_0x5574d6525e80, L_0x7f2b9dca2cc8; +L_0x5574d6525a10 .part L_0x5574d6514f60, 31, 1; +L_0x5574d6525ab0 .part L_0x5574d6515960, 31, 1; +L_0x5574d6525b50 .reduce/nor L_0x5574d6525ab0; +L_0x5574d6525d50 .part L_0x5574d6514f60, 31, 1; +L_0x5574d65265a0 .reduce/nor L_0x5574d6525d50; +L_0x5574d6526640 .part L_0x5574d6515960, 31, 1; +L_0x5574d65261c0 .cmp/gt 32, L_0x5574d6515960, L_0x5574d6514f60; +L_0x5574d6526260 .concat [ 1 31 0 0], L_0x5574d65261c0, L_0x7f2b9dca2da0; +L_0x5574d65263a0 .functor MUXZ 32, L_0x5574d6526260, L_0x7f2b9dca2d58, L_0x5574d6526100, C4<>; +L_0x5574d6526ba0 .functor MUXZ 32, L_0x5574d65263a0, L_0x7f2b9dca2d10, L_0x5574d6525c40, C4<>; +L_0x5574d6526780 .concat [ 32 31 0 0], L_0x5574d6526ba0, L_0x7f2b9dca2de8; +L_0x5574d65268c0 .part L_0x5574d6526780, 0, 32; +L_0x5574d65269b0 .part L_0x5574d6514f60, 31, 1; +L_0x5574d6526a50 .part L_0x5574d6511a90, 31, 1; +L_0x5574d65273e0 .part L_0x5574d6514f60, 31, 1; +L_0x5574d6527480 .concat [ 1 31 0 0], L_0x5574d65273e0, L_0x7f2b9dca2e30; +L_0x5574d6526c40 .functor MUXZ 32, L_0x5574d6527480, L_0x5574d6525fc0, L_0x5574d6527130, C4<>; +L_0x5574d6526dd0 .part L_0x5574d6514f60, 31, 1; +LS_0x5574d6526e70_0_0 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_0_4 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_0_8 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_0_12 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_0_16 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_0_20 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_0_24 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_0_28 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_1_0 .concat [ 4 4 4 4], LS_0x5574d6526e70_0_0, LS_0x5574d6526e70_0_4, LS_0x5574d6526e70_0_8, LS_0x5574d6526e70_0_12; +LS_0x5574d6526e70_1_4 .concat [ 4 4 4 4], LS_0x5574d6526e70_0_16, LS_0x5574d6526e70_0_20, LS_0x5574d6526e70_0_24, LS_0x5574d6526e70_0_28; +L_0x5574d6526e70 .concat [ 16 16 0 0], LS_0x5574d6526e70_1_0, LS_0x5574d6526e70_1_4; +L_0x5574d6526f60 .cmp/gt 32, L_0x5574d6515960, L_0x5574d6514f60; +L_0x5574d6527000 .concat [ 1 32 0 0], L_0x5574d6526f60, L_0x5574d6526e70; +L_0x5574d65275c0 .concat [ 33 31 0 0], L_0x5574d6527000, L_0x7f2b9dca2e78; +L_0x5574d6527700 .part L_0x5574d6515960, 0, 5; +L_0x5574d65277a0 .shift/r 64, L_0x5574d65275c0, L_0x5574d6527700; +L_0x5574d65278e0 .concat [ 64 0 0 0], L_0x5574d65277a0; +L_0x5574d65279d0 .part L_0x5574d6511a90, 0, 5; +L_0x5574d6528430 .shift/r 64, L_0x5574d65275c0, L_0x5574d65279d0; +L_0x5574d65284d0 .concat [ 64 0 0 0], L_0x5574d6528430; +L_0x5574d6527ee0 .cmp/gt 32, L_0x5574d6511a90, L_0x5574d6514f60; +L_0x5574d6527f80 .concat [ 1 31 0 0], L_0x5574d6527ee0, L_0x7f2b9dca2ec0; +L_0x5574d6528070 .arith/sum 32, L_0x5574d6514f60, L_0x5574d6511a90; +L_0x5574d6528180 .arith/sum 32, L_0x5574d6514f60, L_0x5574d6511a90; +L_0x5574d6528220 .arith/sum 32, L_0x5574d6514f60, L_0x5574d6515960; +L_0x5574d6528d40 .part L_0x5574d6511a90, 0, 5; +L_0x5574d65285c0 .shift/l 32, L_0x5574d6514f60, L_0x5574d6528d40; +L_0x5574d65286e0 .part L_0x5574d6511a90, 0, 5; +L_0x5574d65287b0 .shift/r 32, L_0x5574d6514f60, L_0x5574d65286e0; +L_0x5574d6528a80 .arith/sub 32, L_0x5574d6514f60, L_0x5574d6515960; +L_0x5574d6528de0 .part L_0x5574d6515960, 0, 5; +L_0x5574d6528eb0 .shift/l 32, L_0x5574d6514f60, L_0x5574d6528de0; +L_0x5574d6528fd0 .part L_0x5574d6515960, 0, 5; +L_0x5574d6529070 .shift/r 32, L_0x5574d6514f60, L_0x5574d6528fd0; +L_0x5574d6529190 .part L_0x5574d6511a90, 12, 20; +L_0x5574d6529260 .concat [ 12 20 0 0], L_0x7f2b9dca2f08, L_0x5574d6529190; +L_0x5574d6529640 .arith/sum 32, v0x5574d64fd230_0, L_0x5574d6511a90; +L_0x5574d6529730 .arith/sum 32, v0x5574d64fd230_0, L_0x7f2b9dca2f50; +L_0x5574d6529890 .part L_0x5574d65278e0, 0, 32; +L_0x5574d6529980 .part L_0x5574d65284d0, 0, 32; +L_0x5574d6529a70 .functor MUXZ 32, L_0x7f2b9dca2f98, L_0x5574d6529980, L_0x5574d6520510, C4<>; +L_0x5574d652a2b0 .functor MUXZ 32, L_0x5574d6529a70, L_0x5574d6529890, L_0x5574d651d9f0, C4<>; +L_0x5574d6529d50 .functor MUXZ 32, L_0x5574d652a2b0, L_0x5574d6529730, L_0x5574d65295a0, C4<>; +L_0x5574d6529ee0 .functor MUXZ 32, L_0x5574d6529d50, L_0x5574d6529640, L_0x5574d65248a0, C4<>; +L_0x5574d652a070 .functor MUXZ 32, L_0x5574d6529ee0, L_0x5574d6529260, L_0x5574d65247b0, C4<>; +L_0x5574d652a970 .functor MUXZ 32, L_0x5574d652a070, L_0x5574d6527f80, L_0x5574d651c510, C4<>; +L_0x5574d652a3f0 .functor MUXZ 32, L_0x5574d652a970, L_0x5574d6529070, L_0x5574d651ce50, C4<>; +L_0x5574d652a580 .functor MUXZ 32, L_0x5574d652a3f0, L_0x5574d6528eb0, L_0x5574d651bd10, C4<>; +L_0x5574d652a710 .functor MUXZ 32, L_0x5574d652a580, L_0x5574d6528a80, L_0x5574d65246a0, C4<>; +L_0x5574d652a8a0 .functor MUXZ 32, L_0x5574d652a710, L_0x5574d65289e0, L_0x5574d65244a0, C4<>; +L_0x5574d652b150 .functor MUXZ 32, L_0x5574d652a8a0, L_0x5574d6528940, L_0x5574d65239c0, C4<>; +L_0x5574d652b2e0 .functor MUXZ 32, L_0x5574d652b150, L_0x5574d65288d0, L_0x5574d6522700, C4<>; +L_0x5574d652aab0 .functor MUXZ 32, L_0x5574d652b2e0, L_0x5574d65287b0, L_0x5574d651f670, C4<>; +L_0x5574d652ac40 .functor MUXZ 32, L_0x5574d652aab0, L_0x5574d65285c0, L_0x5574d651e820, C4<>; +L_0x5574d652add0 .functor MUXZ 32, L_0x5574d652ac40, L_0x5574d6528390, L_0x5574d6521c70, C4<>; +L_0x5574d652af60 .functor MUXZ 32, L_0x5574d652add0, L_0x5574d65282f0, L_0x5574d6521400, C4<>; +L_0x5574d652baa0 .functor MUXZ 32, L_0x5574d652af60, L_0x5574d6528110, L_0x5574d6520d20, C4<>; +L_0x5574d652bc30 .functor MUXZ 32, L_0x5574d652baa0, L_0x5574d6526c40, L_0x5574d651c020, C4<>; +L_0x5574d652b470 .functor MUXZ 32, L_0x5574d652bc30, L_0x5574d6525fc0, L_0x5574d651b130, C4<>; +L_0x5574d652b5b0 .functor MUXZ 32, L_0x5574d652b470, L_0x5574d65268c0, L_0x5574d651a910, C4<>; +L_0x5574d652b740 .functor MUXZ 32, L_0x5574d652b5b0, L_0x5574d6528220, L_0x5574d6516b50, C4<>; +L_0x5574d652b8d0 .functor MUXZ 32, L_0x5574d652b740, L_0x5574d6528180, L_0x5574d6516170, C4<>; +L_0x5574d652c420 .functor MUXZ 32, L_0x5574d652b8d0, L_0x5574d6528070, L_0x5574d6525800, C4<>; +L_0x5574d652c850 .cmp/eq 32, L_0x5574d6514f60, L_0x5574d6515960; +L_0x5574d652bcd0 .cmp/ne 32, L_0x5574d6514f60, L_0x5574d6515960; +L_0x5574d652bd70 .cmp/gt.s 32, L_0x5574d652c7e0, L_0x5574d65297d0; +L_0x5574d652beb0 .cmp/ge.s 32, L_0x5574d65297d0, L_0x5574d652c7e0; +L_0x5574d652bf50 .cmp/gt 32, L_0x5574d6515960, L_0x5574d6514f60; +L_0x5574d652bff0 .cmp/ge 32, L_0x5574d6514f60, L_0x5574d6515960; +L_0x5574d652c090 .functor MUXZ 1, L_0x7f2b9dca2fe0, L_0x5574d652bff0, L_0x5574d6517d20, C4<>; +L_0x5574d652c220 .functor MUXZ 1, L_0x5574d652c090, L_0x5574d652bf50, L_0x5574d6517220, C4<>; +L_0x5574d652d030 .functor MUXZ 1, L_0x5574d652c220, L_0x5574d652beb0, L_0x5574d6517740, C4<>; +L_0x5574d652c9e0 .functor MUXZ 1, L_0x5574d652d030, L_0x5574d652bd70, L_0x5574d65175e0, C4<>; +L_0x5574d652cb70 .functor MUXZ 1, L_0x5574d652c9e0, L_0x5574d652bcd0, L_0x5574d6517160, C4<>; +L_0x5574d652cd00 .functor MUXZ 1, L_0x5574d652cb70, L_0x5574d652c850, L_0x5574d6516fb0, C4<>; +L_0x5574d652ce90 .arith/sum 32, v0x5574d64fd230_0, L_0x5574d6513370; +L_0x5574d652d0d0 .arith/sum 32, v0x5574d64fd230_0, L_0x7f2b9dca3028; +L_0x5574d652d1c0 .arith/sum 32, L_0x5574d6514f60, L_0x5574d6511a90; +L_0x5574d652d260 .functor MUXZ 32, L_0x5574d652d0d0, L_0x5574d652d1c0, L_0x5574d65250c0, C4<>; +L_0x5574d652d3a0 .functor MUXZ 32, L_0x5574d652d260, L_0x5574d652ce90, L_0x5574d65249c0, C4<>; +L_0x5574d652d4e0 .functor MUXZ 32, L_0x5574d652d3a0, L_0x5574d652ce90, L_0x5574d652cd00, C4<>; +L_0x5574d652d670 .concat [ 5 27 0 0], L_0x5574d650f960, L_0x7f2b9dca3070; +L_0x5574d652df80 .cmp/ne 32, L_0x5574d652d670, L_0x7f2b9dca30b8; +L_0x5574d6531fe0 .functor MUXZ 32, L_0x5574d652c420, v0x5574d64fcdd0_0, L_0x5574d65255c0, C4<>; + .scope S_0x5574d64e56f0; +T_0 ; + %vpi_call 3 12 "$readmemh", "program.hex", v0x5574d64fa710 {0 0 0}; + %end; + .thread T_0; + .scope S_0x5574d64e56f0; +T_1 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x5574d64fcb30_0, 0, 32; +T_1.0 ; + %load/vec4 v0x5574d64fcb30_0; + %cmpi/s 32, 0, 32; + %jmp/0xz T_1.1, 5; + %pushi/vec4 0, 0, 32; + %ix/getv/s 4, v0x5574d64fcb30_0; + %store/vec4a v0x5574d64fa3b0, 4, 0; + %load/vec4 v0x5574d64fcb30_0; + %addi 1, 0, 32; + %store/vec4 v0x5574d64fcb30_0, 0, 32; + %jmp T_1.0; +T_1.1 ; + %end; + .thread T_1; + .scope S_0x5574d64e56f0; +T_2 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x5574d64fa630_0, 0, 32; +T_2.0 ; + %load/vec4 v0x5574d64fa630_0; + %cmpi/s 32, 0, 32; + %jmp/0xz T_2.1, 5; + %pushi/vec4 0, 0, 32; + %ix/getv/s 4, v0x5574d64fa630_0; + %store/vec4a v0x5574d64fd3f0, 4, 0; + %load/vec4 v0x5574d64fa630_0; + %addi 1, 0, 32; + %store/vec4 v0x5574d64fa630_0, 0, 32; + %jmp T_2.0; +T_2.1 ; + %end; + .thread T_2; + .scope S_0x5574d64e56f0; +T_3 ; + %wait E_0x5574d64d0c70; + %load/vec4 v0x5574d64fceb0_0; + %parti/s 2, 0, 2; + %cmpi/e 0, 0, 2; + %jmp/0xz T_3.0, 4; + %load/vec4 v0x5574d64fa470_0; + %dup/vec4; + %pushi/vec4 0, 0, 3; + %cmp/u; + %jmp/1 T_3.2, 6; + %dup/vec4; + %pushi/vec4 1, 0, 3; + %cmp/u; + %jmp/1 T_3.3, 6; + %dup/vec4; + %pushi/vec4 2, 0, 3; + %cmp/u; + %jmp/1 T_3.4, 6; + %dup/vec4; + %pushi/vec4 4, 0, 3; + %cmp/u; + %jmp/1 T_3.5, 6; + %dup/vec4; + %pushi/vec4 5, 0, 3; + %cmp/u; + %jmp/1 T_3.6, 6; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x5574d64fcdd0_0, 0, 32; + %jmp T_3.8; +T_3.2 ; + %load/vec4 v0x5574d64fccf0_0; + %parti/s 1, 7, 4; + %replicate 24; + %load/vec4 v0x5574d64fccf0_0; + %parti/s 8, 0, 2; + %concat/vec4; draw_concat_vec4 + %store/vec4 v0x5574d64fcdd0_0, 0, 32; + %jmp T_3.8; +T_3.3 ; + %load/vec4 v0x5574d64fccf0_0; + %parti/s 1, 15, 5; + %replicate 16; + %load/vec4 v0x5574d64fccf0_0; + %parti/s 16, 0, 2; + %concat/vec4; draw_concat_vec4 + %store/vec4 v0x5574d64fcdd0_0, 0, 32; + %jmp T_3.8; +T_3.4 ; + %load/vec4 v0x5574d64fccf0_0; + %store/vec4 v0x5574d64fcdd0_0, 0, 32; + %jmp T_3.8; +T_3.5 ; + %pushi/vec4 0, 0, 24; + %load/vec4 v0x5574d64fccf0_0; + %parti/s 8, 0, 2; + %concat/vec4; draw_concat_vec4 + %store/vec4 v0x5574d64fcdd0_0, 0, 32; + %jmp T_3.8; +T_3.6 ; + %pushi/vec4 0, 0, 16; + %load/vec4 v0x5574d64fccf0_0; + %parti/s 16, 0, 2; + %concat/vec4; draw_concat_vec4 + %store/vec4 v0x5574d64fcdd0_0, 0, 32; + %jmp T_3.8; +T_3.8 ; + %pop/vec4 1; + %jmp T_3.1; +T_3.0 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x5574d64fcdd0_0, 0, 32; + %load/vec4 v0x5574d64fc8f0_0; + %flag_set/vec4 8; + %jmp/0xz T_3.9, 8; + %vpi_call 3 150 "$display", "ERROR: Misaligned memory address at addr %h", v0x5574d64fceb0_0 {0 0 0}; +T_3.9 ; +T_3.1 ; + %jmp T_3; + .thread T_3, $push; + .scope S_0x5574d64e56f0; +T_4 ; + %wait E_0x5574d6404aa0; + %load/vec4 v0x5574d64fd8f0_0; + %nor/r; + %flag_set/vec4 11; + %flag_get/vec4 11; + %jmp/0 T_4.4, 11; + %load/vec4 v0x5574d64fca70_0; + %and; +T_4.4; + %flag_set/vec4 10; + %flag_get/vec4 10; + %jmp/0 T_4.3, 10; + %load/vec4 v0x5574d64fe190_0; + %pad/u 32; + %cmpi/u 32, 0, 32; + %flag_get/vec4 5; + %and; +T_4.3; + %flag_set/vec4 9; + %flag_get/vec4 9; + %jmp/0 T_4.2, 9; + %load/vec4 v0x5574d64fceb0_0; + %parti/s 2, 0, 2; + %pushi/vec4 0, 0, 2; + %cmp/e; + %flag_get/vec4 4; + %and; +T_4.2; + %flag_set/vec4 8; + %jmp/0xz T_4.0, 8; + %load/vec4 v0x5574d64fa470_0; + %dup/vec4; + %pushi/vec4 0, 0, 3; + %cmp/u; + %jmp/1 T_4.5, 6; + %dup/vec4; + %pushi/vec4 1, 0, 3; + %cmp/u; + %jmp/1 T_4.6, 6; + %dup/vec4; + %pushi/vec4 2, 0, 3; + %cmp/u; + %jmp/1 T_4.7, 6; + %jmp T_4.8; +T_4.5 ; + %load/vec4 v0x5574d64fd810_0; + %parti/s 8, 0, 2; + %load/vec4 v0x5574d64fe190_0; + %pad/u 7; + %ix/vec4 4; + %flag_mov 8, 4; + %ix/load 5, 0, 0; + %flag_set/imm 4, 0; + %flag_or 4, 8; + %store/vec4a v0x5574d64fa3b0, 4, 5; + %jmp T_4.8; +T_4.6 ; + %load/vec4 v0x5574d64fd810_0; + %parti/s 16, 0, 2; + %load/vec4 v0x5574d64fe190_0; + %pad/u 7; + %ix/vec4 4; + %flag_mov 8, 4; + %ix/load 5, 0, 0; + %flag_set/imm 4, 0; + %flag_or 4, 8; + %store/vec4a v0x5574d64fa3b0, 4, 5; + %jmp T_4.8; +T_4.7 ; + %load/vec4 v0x5574d64fd810_0; + %load/vec4 v0x5574d64fe190_0; + %pad/u 7; + %ix/vec4 4; + %store/vec4a v0x5574d64fa3b0, 4, 0; + %jmp T_4.8; +T_4.8 ; + %pop/vec4 1; + %vpi_call 3 169 "$display", "MEM Write: word_addr=%h, data=%h", v0x5574d64fe190_0, v0x5574d64fd810_0 {0 0 0}; +T_4.0 ; + %jmp T_4; + .thread T_4, $push; + .scope S_0x5574d64e56f0; +T_5 ; + %wait E_0x5574d644e830; + %load/vec4 v0x5574d64fd8f0_0; + %flag_set/vec4 8; + %jmp/0xz T_5.0, 8; + %pushi/vec4 0, 0, 32; + %assign/vec4 v0x5574d64fd230_0, 0; + %jmp T_5.1; +T_5.0 ; + %load/vec4 v0x5574d64fcf90_0; + %assign/vec4 v0x5574d64fd230_0, 0; +T_5.1 ; + %jmp T_5; + .thread T_5; + .scope S_0x5574d64e56f0; +T_6 ; + %wait E_0x5574d644e830; + %load/vec4 v0x5574d64fd4b0_0; + %flag_set/vec4 9; + %flag_get/vec4 9; + %jmp/0 T_6.2, 9; + %load/vec4 v0x5574d64fd8f0_0; + %nor/r; + %and; +T_6.2; + %flag_set/vec4 8; + %jmp/0xz T_6.0, 8; + %load/vec4 v0x5574d64fe270_0; + %load/vec4 v0x5574d64fd310_0; + %pad/u 7; + %ix/vec4 3; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0x5574d64fd3f0, 0, 4; + %vpi_call 3 264 "$display", "RF Write: x%d = %h", v0x5574d64fd310_0, v0x5574d64fe270_0 {0 0 0}; +T_6.0 ; + %jmp T_6; + .thread T_6; + .scope S_0x5574d64e56f0; +T_7 ; + %wait E_0x5574d644e830; + %load/vec4 v0x5574d64fd8f0_0; + %nor/r; + %flag_set/vec4 8; + %jmp/0xz T_7.0, 8; + %vpi_call 3 271 "$display", "PC=%08h, Instr=%08h, rs1=x%d(%h), rs2=x%d(%h), rd=x%d, branch_taken=%b", v0x5574d64fd230_0, v0x5574d64fa7d0_0, v0x5574d64fd570_0, v0x5574d64fd650_0, v0x5574d64fd730_0, v0x5574d64fd810_0, v0x5574d64fd310_0, v0x5574d64fa150_0 {0 0 0}; + %load/vec4 v0x5574d64fd230_0; + %parti/s 2, 0, 2; + %cmpi/ne 0, 0, 2; + %jmp/0xz T_7.2, 4; + %vpi_call 3 274 "$display", "WARNING: PC not word-aligned: %h", v0x5574d64fd230_0 {0 0 0}; +T_7.2 ; +T_7.0 ; + %jmp T_7; + .thread T_7; + .scope S_0x5574d64e56f0; +T_8 ; + %wait E_0x5574d644e830; + %load/vec4 v0x5574d64fd8f0_0; + %nor/r; + %flag_set/vec4 9; + %flag_get/vec4 9; + %jmp/0 T_8.2, 9; + %load/vec4 v0x5574d64fd150_0; + %pushi/vec4 3, 0, 7; + %cmp/e; + %flag_get/vec4 4; + %and; +T_8.2; + %flag_set/vec4 8; + %jmp/0xz T_8.0, 8; + %vpi_call 3 281 "$display", "LOAD: byte_addr=%h, word_addr=%h, data=%h, funct3=%b", v0x5574d64fceb0_0, v0x5574d64fe190_0, v0x5574d64fcdd0_0, v0x5574d64fa470_0 {0 0 0}; +T_8.0 ; + %jmp T_8; + .thread T_8; + .scope S_0x5574d64b4dc0; +T_9 ; + %delay 5000, 0; + %load/vec4 v0x5574d64fe3f0_0; + %inv; + %store/vec4 v0x5574d64fe3f0_0, 0, 1; + %jmp T_9; + .thread T_9; + .scope S_0x5574d64b4dc0; +T_10 ; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x5574d64fe3f0_0, 0, 1; + %pushi/vec4 1, 0, 1; + %store/vec4 v0x5574d64fe690_0, 0, 1; + %delay 10000, 0; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x5574d64fe690_0, 0, 1; + %delay 500000, 0; + %vpi_call 2 34 "$display", "\012=== FINAL REGISTER STATE ===" {0 0 0}; + %vpi_call 2 35 "$display", "x1 (ra) = %h", &A {0 0 0}; + %vpi_call 2 36 "$display", "x2 (sp) = %h", &A {0 0 0}; + %vpi_call 2 37 "$display", "x3 (gp) = %h", &A {0 0 0}; + %vpi_call 2 38 "$display", "x4 (tp) = %h", &A {0 0 0}; + %vpi_call 2 39 "$display", "x5 (t0) = %h", &A {0 0 0}; + %vpi_call 2 40 "$display", "x6 (t1) = %h", &A {0 0 0}; + %vpi_call 2 41 "$display", "x7 (t2) = %h", &A {0 0 0}; + %vpi_call 2 42 "$display", "x8 (s0) = %h", &A {0 0 0}; + %vpi_call 2 43 "$display", "x10 (a0) = %h", &A {0 0 0}; + %vpi_call 2 46 "$display", "\012=== MEMORY STATE ===" {0 0 0}; + %fork t_1, S_0x5574d64b4a40; + %jmp t_0; + .scope S_0x5574d64b4a40; +t_1 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x5574d64c8720_0, 0, 32; +T_10.0 ; + %load/vec4 v0x5574d64c8720_0; + %cmpi/s 10, 0, 32; + %jmp/0xz T_10.1, 5; + %vpi_call 2 50 "$display", "mem[%0d] = %h", v0x5574d64c8720_0, &A {0 0 0}; + %load/vec4 v0x5574d64c8720_0; + %addi 1, 0, 32; + %store/vec4 v0x5574d64c8720_0, 0, 32; + %jmp T_10.0; +T_10.1 ; + %end; + .scope S_0x5574d64b4dc0; +t_0 %join; + %vpi_call 2 54 "$finish" {0 0 0}; + %end; + .thread T_10; + .scope S_0x5574d64b4dc0; +T_11 ; + %vpi_call 2 59 "$monitor", "Time=%0t: PC=%h, Instr=%h", $time, v0x5574d64fd230_0, v0x5574d64fa7d0_0 {0 0 0}; + %end; + .thread T_11; +# The file index is used to find the file name in the following table. +:file_names 4; + "N/A"; + ""; + "RISCCore_tb.v"; + "RISCCore.v"; diff --git a/chapter5/RISCCore.v b/chapter5/RISCCore.v new file mode 100644 index 0000000..593400b --- /dev/null +++ b/chapter5/RISCCore.v @@ -0,0 +1,286 @@ +module RISCCore ( + input rst, + input clk, + output reg [31:0] pc, + output wire [31:0] next_pc, // Changed to wire + output wire [31:0] instr +); + + // IMem - reduced size for simplicity + reg [31:0] imem [0:63]; + initial begin + $readmemh("program.hex", imem); + end + assign instr = (pc[31:2] < 64) ? imem[pc[31:2]] : 32'h00000013; // Word-aligned access + + //Data Mem + reg [31:0] dmem [0:31]; + wire [31:0] ld_data; + wire [4:0] word_addr = mem_addr[6:2]; + + integer j; + initial begin + for(j = 0; j < 32; j = j + 1) begin + dmem[j] = 32'b0; + end + end + + assign ld_data = (word_addr < 32) ? dmem[word_addr] : 32'b0; + + // Instruction decoder + wire [6:0] opcode = instr[6:0]; + wire [4:0] rs1 = instr[19:15]; + wire [4:0] rs2 = instr[24:20]; + wire [4:0] rd = instr[11:7]; + wire [2:0] funct3 = instr[14:12]; + wire [6:0] funct7 = instr[31:25]; + + // Instruction type detection + wire isUType = (opcode == 7'b0110111) || (opcode == 7'b0010111); // LUI, AUIPC + wire isIType = (opcode == 7'b0000011) || (opcode == 7'b0000111) || // LOAD + (opcode == 7'b0010011) || (opcode == 7'b0011011) || // OP-IMM + (opcode == 7'b1100111); // JALR + wire isRType = (opcode == 7'b0110011) || (opcode == 7'b0111011); // OP + wire isSType = (opcode == 7'b0100011) || (opcode == 7'b0100111); // STORE + wire isBType = (opcode == 7'b1100011); // BRANCH + wire isJType = (opcode == 7'b1101111); // JAL + + // Immediate generation + wire [31:0] Iimm = {{20{instr[31]}}, instr[31:20]}; + wire [31:0] Simm = {{20{instr[31]}}, instr[31:25], instr[11:7]}; + wire [31:0] Bimm = {{19{instr[31]}}, instr[31], instr[7], instr[30:25], instr[11:8], 1'b0}; + wire [31:0] Uimm = {instr[31:12], 12'b0}; + wire [31:0] Jimm = {{11{instr[31]}}, instr[31], instr[19:12], instr[20], instr[30:21], 1'b0}; + + // Register file + // Initialize register file (x0 always zero) + reg [31:0] rf [0:31]; //Register file + integer i; + initial begin + for (i = 0; i < 32; i = i + 1) begin + rf[i] = 32'b0; + end + end + + // Read ports + wire [31:0] rs1_val = (rs1 != 0) ? rf[rs1] : 32'b0; + wire [31:0] rs2_val = (rs2 != 0) ? rf[rs2] : 32'b0; + + // Instruction decoding + wire isADDI = (opcode == 7'b0010011) && (funct3 == 3'b000); + wire isADD = (opcode == 7'b0110011) && (funct3 == 3'b000) && (funct7 == 7'b0000000); + // Branch instructions + wire isBEQ = (isBType) && (funct3 == 3'b000); + wire isBNE = (isBType) && (funct3 == 3'b001); + wire isBLT = (isBType) && (funct3 == 3'b100); + wire isBGE = (isBType) && (funct3 == 3'b101); + wire isBLTU = (isBType) && (funct3 == 3'b110); + wire isBGEU = (isBType) && (funct3 == 3'b111); + + //store load instructions + wire isLB = (isIType) && (funct3 == 3'b000); + wire isLH = (isIType) && (funct3 == 3'b001); + wire isLW = (opcode == 7'b0000011) && (funct3 == 3'b010); + wire isLBU = (isIType) && (funct3 == 3'b100); + wire isLHU = (isIType) && (funct3 == 3'b101); + + wire isSB = (isSType) && (funct3 == 3'b000); + wire isSH = (isSType) && (funct3 == 3'b001); + wire isSW = (opcode == 7'b0100011) && (funct3 == 3'b010); + + //sl and sr instructions + wire isSLT = (isRType) && (funct3 == 3'b010) && (funct7[5] == 1'b0); + wire isSLTU = (isRType) && (funct3 == 3'b011) && (funct7[5] == 1'b0); + wire isSLL = (opcode == 7'b0110011) && (funct3 == 3'b001) && (funct7 == 7'b0000000); + wire isSLTI = (isIType) && (funct3 == 3'b010); + wire isSLTIU = (isIType) && (funct3 == 3'b011); + + wire isSRL = (opcode == 7'b0110011) && (funct3 == 3'b101) && (funct7 == 7'b0000000); + wire isSRA = (opcode == 7'b0110011) && (funct3 == 3'b101) && (funct7 == 7'b0100000); + + wire isSLLI = (opcode == 7'b0010011) && (funct3 == 3'b001) && (funct7[6:1] == 6'b000000); + wire isSRLI = (opcode == 7'b0010011) && (funct3 == 3'b101) && (funct7[6:1] == 6'b000000); + wire isSRAI = (opcode == 7'b0010011) && (funct3 == 3'b101) && (funct7[6:1] == 6'b010000); + + //logic imms + wire isANDI = (opcode == 7'b0010011) && (funct3 == 3'b111); + wire isORI = (opcode == 7'b0010011) && (funct3 == 3'b110); + wire isXORI = (opcode == 7'b0010011) && (funct3 == 3'b100); + // logic + wire isAND = (opcode == 7'b0110011) && (funct3 == 3'b111) && (funct7 == 7'b0000000); + wire isOR = (opcode == 7'b0110011) && (funct3 == 3'b110) && (funct7 == 7'b0000000); + wire isXOR = (opcode == 7'b0110011) && (funct3 == 3'b100) && (funct7 == 7'b0000000); + wire isSUB = (opcode == 7'b0110011) && (funct3 == 3'b000) && (funct7 == 7'b0100000); + //lui auipc (opcode use) + wire isLUI = (opcode == 7'b0110111); + wire isAUIPC = (opcode == 7'b0010111); + wire isJAL = (opcode == 7'b1101111); + //jal UType + + wire isJALR = (opcode == 7'b1100111) && (funct3 == 3'b000); + + //Mem address calculation + wire [31:0] mem_addr = (is_mem_op) ? alu_result : 32'b0; + + //Mem address logic + //For simplicity we only implement all loads as word loads (lw) + //byte/halfword ignored + wire is_load = (opcode == 7'b0000011); // All load instructions + wire is_store = (opcode == 7'b0100011); // All store instructions + wire is_mem_op = is_store || is_load; + + +//Load operations + + reg [31:0] load_data; + + always @(*) begin + if (mem_addr[1:0] == 2'b00) begin + case (funct3) + 3'b000: load_data = {{24{ld_data[7]}}, ld_data[7:0]}; + 3'b001: load_data = {{16{ld_data[15]}}, ld_data[15:0]}; + 3'b010: load_data = ld_data; + 3'b100: load_data = {24'b0, ld_data[7:0]}; + 3'b101: load_data = {16'b0, ld_data[15:0]}; + default: load_data = 32'b0; + endcase + end else begin + load_data = 32'b0; + if (is_load) begin // Only show error for actual loads + $display("ERROR: Misaligned memory address at addr %h", mem_addr); + end + end + end + +//Store operations +always @(*) begin + if (!rst && is_store && (word_addr < 32) && (mem_addr[1:0] == 2'b00)) begin + case (funct3) + 3'b000: begin //SB: store byte 0 + dmem[word_addr][7:0] = rs2_val[7:0]; + end + 3'b001: begin //SH: store halfword + dmem[word_addr][15:0] = rs2_val[15:0]; + end + 3'b010: begin //SW: store word + dmem[word_addr] = rs2_val; + end + endcase + $display("MEM Write: word_addr=%h, data=%h", word_addr, rs2_val); + end +end + // ALU operations + + //sltu and slt + wire [31:0] sltu_rslt = {31'b0, (rs1_val < rs2_val)}; + wire [31:0] signed_slt = (rs1_val[31] && !rs2_val[31]) ? 1'b1 : + (!rs1_val[31] && rs2_val[31]) ? 1'b0 : + (rs1_val < rs2_val); + wire [31:0] slt_rslt = {31'b0, signed_slt}; + wire [31:0] slti_rslt = ((rs1_val[31] == Iimm[31]) ? sltu_rslt : {31'b0, rs1_val[31]}); + + wire [63:0] SErs1_val = {{32{rs1_val[31]}}, (rs1_val < rs2_val)}; + + wire [63:0] sra_rslt = {SErs1_val >> rs2_val[4:0]}; + wire [63:0] srai_rslt = {SErs1_val >> Iimm[4:0]}; + wire [31:0] sltiu_rslt = {31'b0, (rs1_val < Iimm)}; + + wire [31:0] alu_result = (is_mem_op) ? (rs1_val + Iimm) : // Mem address computation + (isADDI) ? (rs1_val + Iimm) : + (isADD) ? (rs1_val + rs2_val) : + (isSLT) ? slt_rslt : + (isSLTU) ? sltu_rslt : + (isSLTI) ? slti_rslt : + (isANDI) ? (rs1_val & Iimm) : + (isORI) ? (rs1_val | Iimm) : + (isXORI) ? (rs1_val ^ Iimm) : + (isSLLI) ? (rs1_val << Iimm[4:0]) : + (isSRLI) ? (rs1_val >> Iimm[4:0]) : + (isAND) ? (rs1_val & rs2_val) : + (isOR) ? (rs1_val | rs2_val) : + (isXOR) ? (rs1_val ^ rs2_val) : + (isSUB) ? (rs1_val - rs2_val) : + (isSLL) ? (rs1_val << rs2_val[4:0]) : + (isSRL) ? (rs1_val >> rs2_val[4:0]) : + (isSLTIU) ? (sltiu_rslt) : + (isLUI) ? ({Iimm[31:12], 12'b0}) : + (isAUIPC) ? (pc + Iimm) : + (isJAL || isJALR) ? (pc + 32'd4) : + (isSRA) ? (sra_rslt[31:0]) : + (isSRAI) ? (srai_rslt[31:0]) : + 32'b0; + + + wire signed [31:0] signed_rs1 = rs1_val; + wire signed [31:0] signed_rs2 = rs2_val; + + wire branch_taken = + isBEQ ? (rs1_val == rs2_val) : + isBNE ? (rs1_val != rs2_val) : + isBLT ? (signed_rs1 < signed_rs2) : + isBGE ? (signed_rs1 >= signed_rs2) : + isBLTU ? (rs1_val < rs2_val) : + isBGEU ? (rs1_val >= rs2_val) : + 1'b0; + + // Next PC calculation - FIXED: using wire for continuous assignment + wire [31:0] branch_target = pc + Bimm; + wire [31:0] next_pc_base = pc + 32'h4; + wire [31:0] jalr_tgt_pc = rs1_val + Iimm; + + assign next_pc = branch_taken ? branch_target : + isJAL ? branch_target : + isJALR ? jalr_tgt_pc : + next_pc_base; + + // Register write back + wire rf_write_enable = (rd != 0) && ( + isADDI || isADD || isSUB || + isJAL || isJALR || + isSLT || isSLTU || isSLTI || isSLTIU || + isSLL || isSRL || isSRA || isSLLI || isSRLI || isSRAI || + isLB || isLH || isLW || isLBU || isLHU || + isXORI || isORI || isANDI || + isXOR || isOR || isAND || + is_load || isLUI || isAUIPC + ); + + + wire [31:0] writeback_data = is_load ? load_data : alu_result; + + // PC update + always @(posedge clk) begin + if (rst) begin + pc <= 32'h0; + end else begin + pc <= next_pc; + end + end + + // Register write back +always @(posedge clk) begin + if (rf_write_enable && !rst) begin + rf[rd] <= writeback_data; // Use writeback_data instead of alu_result + $display("RF Write: x%d = %h", rd, writeback_data); + end +end + +// Debug monitoring + always @(posedge clk) begin + if (!rst) begin + $display("PC=%08h, Instr=%08h, rs1=x%d(%h), rs2=x%d(%h), rd=x%d, branch_taken=%b", + pc, instr, rs1, rs1_val, rs2, rs2_val, rd, branch_taken); + if (pc[1:0] != 2'b00) begin + $display("WARNING: PC not word-aligned: %h", pc); + end + end + end + + always @(posedge clk) begin + if (!rst && (opcode == 7'b0000011)) begin + $display("LOAD: byte_addr=%h, word_addr=%h, data=%h, funct3=%b", + mem_addr, word_addr, load_data, funct3); + end + end + +endmodule diff --git a/chapter5/RISCCore_0.2tb.v b/chapter5/RISCCore_0.2tb.v new file mode 100644 index 0000000..406a57e --- /dev/null +++ b/chapter5/RISCCore_0.2tb.v @@ -0,0 +1,55 @@ +module RISCCore_tb; + reg clk; + reg rst; + wire [31:0] pc; + wire [31:0] next_pc; + wire [31:0] instr; + + // Instantiate the RISC-V core + RISCCore uut ( + .rst(rst), + .clk(clk), + .pc(pc), + .next_pc(next_pc), + .instr(instr) + ); + + // Clock generation + always #5 clk = ~clk; + + // Test sequence + initial begin + // Initialize signals + clk = 0; + rst = 1; + + // Apply reset + #10 rst = 0; + + // Run for 100 clock cycles + #1000; + + // Display final register values + $display("\n=== Final Register Values ==="); + for (integer i = 0; i < 32; i = i + 1) begin + if (uut.rf[i] != 0) begin + $display("x%d = %h", i, uut.rf[i]); + end + end + + // Display final memory contents + $display("\n=== Final Memory Contents ==="); + for (integer i = 0; i < 32; i = i + 1) begin + if (uut.dmem[i] != 0) begin + $display("Mem[%d] = %h", i, uut.dmem[i]); + end + end + + $finish; + end + + // Monitor important signals + initial begin + $monitor("Time=%0t, PC=%h, Instr=%h", $time, pc, instr); + end +endmodule diff --git a/chapter5/RISCCore_tb.v b/chapter5/RISCCore_tb.v new file mode 100644 index 0000000..4534992 --- /dev/null +++ b/chapter5/RISCCore_tb.v @@ -0,0 +1,62 @@ +`timescale 1ns/1ps + +module RISCCore_tb; + reg clk; + reg rst; + wire [31:0] pc; + wire [31:0] next_pc; + wire [31:0] instr; + + // Instantiate the RISC-V core + RISCCore uut ( + .rst(rst), + .clk(clk), + .pc(pc), + .next_pc(next_pc), + .instr(instr) + ); + + // Clock generation + always #5 clk = ~clk; + + // Initialize signals + initial begin + clk = 0; + rst = 1; + + // Reset sequence + #10 rst = 0; + + // Run for enough clock cycles + #500; + + // Display final register values + $display("\n=== FINAL REGISTER STATE ==="); + $display("x1 (ra) = %h", uut.rf[1]); + $display("x2 (sp) = %h", uut.rf[2]); + $display("x3 (gp) = %h", uut.rf[3]); + $display("x4 (tp) = %h", uut.rf[4]); + $display("x5 (t0) = %h", uut.rf[5]); + $display("x6 (t1) = %h", uut.rf[6]); + $display("x7 (t2) = %h", uut.rf[7]); + $display("x8 (s0) = %h", uut.rf[8]); + $display("x10 (a0) = %h", uut.rf[10]); + + // Display memory contents + $display("\n=== MEMORY STATE ==="); + begin : memory_display + integer i; + for (i = 0; i < 10; i = i + 1) begin + $display("mem[%0d] = %h", i, uut.dmem[i]); + end + end + + $finish; + end + + // Simple monitor + initial begin + $monitor("Time=%0t: PC=%h, Instr=%h", $time, uut.pc, uut.instr); + end + +endmodule diff --git a/chapter5/RISCcore0.2.v b/chapter5/RISCcore0.2.v new file mode 100644 index 0000000..c35eb6d --- /dev/null +++ b/chapter5/RISCcore0.2.v @@ -0,0 +1,287 @@ +module RISCCore ( + input rst, + input clk, + output reg [31:0] pc, + output wire [31:0] next_pc, // Changed to wire + output wire [31:0] instr +); + + // IMem - reduced size for simplicity + reg [31:0] imem [0:63]; + initial begin + $readmemh("program.hex", imem); + end + assign instr = (pc[31:2] < 64) ? imem[pc[31:2]] : 32'h00000013; // Word-aligned access + + //Data Mem + reg [31:0] dmem [0:31]; + wire [31:0] ld_data; + wire [4:0] word_addr = mem_addr[6:2]; + + integer j; + initial begin + for(j = 0; j < 32; j = j + 1) begin + dmem[j] = 32'b0; + end + end + + assign ld_data = (word_addr < 32) ? dmem[word_addr] : 32'b0; + + // Instruction decoder + wire [6:0] opcode = instr[6:0]; + wire [4:0] rs1 = instr[19:15]; + wire [4:0] rs2 = instr[24:20]; + wire [4:0] rd = instr[11:7]; + wire [2:0] funct3 = instr[14:12]; + wire [6:0] funct7 = instr[31:25]; + + // Instruction type detection + wire isUType = (opcode == 7'b0110111) || (opcode == 7'b0010111); // LUI, AUIPC + wire isIType = (opcode == 7'b0000011) || (opcode == 7'b0000111) || // LOAD + (opcode == 7'b0010011) || (opcode == 7'b0011011) || // OP-IMM + (opcode == 7'b1100111); // JALR + wire isRType = (opcode == 7'b0110011) || (opcode == 7'b0111011); // OP + wire isSType = (opcode == 7'b0100011) || (opcode == 7'b0100111); // STORE + wire isBType = (opcode == 7'b1100011); // BRANCH + wire isJType = (opcode == 7'b1101111); // JAL + + // Immediate generation + wire [31:0] Iimm = {{20{instr[31]}}, instr[31:20]}; + wire [31:0] Simm = {{20{instr[31]}}, instr[31:25], instr[11:7]}; + wire [31:0] Bimm = {{19{instr[31]}}, instr[31], instr[7], instr[30:25], instr[11:8], 1'b0}; + wire [31:0] Uimm = {instr[31:12], 12'b0}; + wire [31:0] Jimm = {{11{instr[31]}}, instr[31], instr[19:12], instr[20], instr[30:21], 1'b0}; + + // Register file + // Initialize register file (x0 always zero) + reg [31:0] rf [0:31]; //Register file + integer i; + initial begin + for (i = 0; i < 32; i = i + 1) begin + rf[i] = 32'b0; + end + end + + // Read ports + wire [31:0] rs1_val = (rs1 != 0) ? rf[rs1] : 32'b0; + wire [31:0] rs2_val = (rs2 != 0) ? rf[rs2] : 32'b0; + + // Instruction decoding + wire isADDI = (opcode == 7'b0010011) && (funct3 == 3'b000); + wire isADD = (opcode == 7'b0110011) && (funct3 == 3'b000) && (funct7 == 7'b0000000); + // Branch instructions + wire isBEQ = (isBType) && (funct3 == 3'b000); + wire isBNE = (isBType) && (funct3 == 3'b001); + wire isBLT = (isBType) && (funct3 == 3'b100); + wire isBGE = (isBType) && (funct3 == 3'b101); + wire isBLTU = (isBType) && (funct3 == 3'b110); + wire isBGEU = (isBType) && (funct3 == 3'b111); + + //store load instructions + wire isLB = (isIType) && (funct3 == 3'b000); + wire isLH = (isIType) && (funct3 == 3'b001); + wire isLW = (opcode == 7'b0000011) && (funct3 == 3'b010); + wire isLBU = (isIType) && (funct3 == 3'b100); + wire isLHU = (isIType) && (funct3 == 3'b101); + + wire isSB = (isSType) && (funct3 == 3'b000); + wire isSH = (isSType) && (funct3 == 3'b001); + wire isSW = (opcode == 7'b0100011) && (funct3 == 3'b010); + + //sl and sr instructions + wire isSLT = (isRType) && (funct3 == 3'b010) && (funct7[5] == 1'b0); + wire isSLTU = (isRType) && (funct3 == 3'b011) && (funct7[5] == 1'b0); + wire isSLL = (opcode == 7'b0110011) && (funct3 == 3'b001) && (funct7 == 7'b0000000); + wire isSLTI = (isIType) && (funct3 == 3'b010); + wire isSLTIU = (isIType) && (funct3 == 3'b011); + + wire isSRL = (opcode == 7'b0110011) && (funct3 == 3'b101) && (funct7 == 7'b0000000); + wire isSRA = (opcode == 7'b0110011) && (funct3 == 3'b101) && (funct7 == 7'b0100000); + + wire isSLLI = (opcode == 7'b0010011) && (funct3 == 3'b001) && (funct7[6:1] == 6'b000000); + wire isSRLI = (opcode == 7'b0010011) && (funct3 == 3'b101) && (funct7[6:1] == 6'b000000); + wire isSRAI = (opcode == 7'b0010011) && (funct3 == 3'b101) && (funct7[6:1] == 6'b010000); + + //logic imms + wire isANDI = (opcode == 7'b0010011) && (funct3 == 3'b111); + wire isORI = (opcode == 7'b0010011) && (funct3 == 3'b110); + wire isXORI = (opcode == 7'b0010011) && (funct3 == 3'b100); + // logic + wire isAND = (opcode == 7'b0110011) && (funct3 == 3'b111) && (funct7 == 7'b0000000); + wire isOR = (opcode == 7'b0110011) && (funct3 == 3'b110) && (funct7 == 7'b0000000); + wire isXOR = (opcode == 7'b0110011) && (funct3 == 3'b100) && (funct7 == 7'b0000000); + wire isSUB = (opcode == 7'b0110011) && (funct3 == 3'b000) && (funct7 == 7'b0100000); + //lui auipc (opcode use) + wire isLUI = (opcode == 7'b0110111); + wire isAUIPC = (opcode == 7'b0010111); + wire isJAL = (opcode == 7'b1101111); + //jal UType + + wire isJALR = (opcode == 7'b1100111) && (funct3 == 3'b000); + + //Mem address calculation + wire [31:0] mem_addr = alu_result; + + //Mem address logic + //For simplicity we only implement all loads as word loads (lw) + //byte/halfword ignored + wire is_load = (opcode == 7'b0000011); // All load instructions + wire is_store = (opcode == 7'b0100011); // All store instructions + wire is_mem_op = is_store || is_load; + + + //Load operations + + reg [31:0] load_data; + always @(*) begin + if (mem_addr[1:0] == 2'b00) begin + case (funct3) + 3'b000: load_data = {{24{ld_data[7]}}, ld_data[7:0]}; //LB sign-extended byte 0 + 3'b001: load_data = {{16{ld_data[15]}}, ld_data[15:0]}; //LH sign-extended halfword + 3'b010: load_data = ld_data; //LW full word + 3'b100: load_data = {24'b0, ld_data[7:0]}; //LBU zero-extended byte 0 + 3'b101: load_data = {16'b0, ld_data[15:0]}; // LHU zero-extended halfword + default: load_data = 32'b0; + endcase + end else begin + load_data = 32'b0; // Misaligned access not supported + $display("ERROR: Misaligned memory address at addr %h", mem_addr); + end + end + + +//Store operations +always @(posedge clk) begin + if (!rst && (word_addr < 32) && (mem_addr[1:0] == 2'b00)) begin + case (funct3) + 3'b000: begin //SB: store byte 0 + dmem[word_addr][7:0] <= rs2_val[7:0]; + end + 3'b001: begin //SH: store halfword + dmem[word_addr][15:0] <= rs2_val[15:0]; + end + 3'b010: begin //SW: store word + dmem[word_addr] <= rs2_val; + end + endcase + $display("MEM Write: word_addr=%h, data=%h", word_addr, rs2_val); + end +end + // ALU operations + + //sltu and slt + wire [31:0] sltu_rslt = {31'b0, (rs1_val < rs2_val)}; + wire [31:0] signed_slt = (rs1_val[31] && !rs2_val[31]) ? 1'b1 : + (!rs1_val[31] && rs2_val[31]) ? 1'b0 : + (rs1_val < rs2_val); + wire [31:0] slt_rslt = {31'b0, signed_slt}; + wire [31:0] slti_rslt = ((rs1_val[31] == Iimm[31]) ? sltu_rslt : {31'b0, rs1_val[31]}); + + wire [63:0] SErs1_val = {{32{rs1_val[31]}}, (rs1_val < rs2_val)}; + + wire [63:0] sra_rslt = {SErs1_val >> rs2_val[4:0]}; + wire [63:0] srai_rslt = {SErs1_val >> Iimm[4:0]}; + wire [31:0] sltiu_rslt = {31'b0, (rs1_val < Iimm)}; + + wire [31:0] alu_result = (is_mem_op) ? (rs1_val + Iimm) & 32'hFFFFFFFC : // Mem address computation (force word alignment) + (isADDI) ? (rs1_val + Iimm) : + (isADD) ? (rs1_val + rs2_val) : + (isSLT) ? slt_rslt : + (isSLTU) ? sltu_rslt : + (isSRA) ? sra_rslt : + (isSRAI) ? srai_rslt : + (isSLTI) ? slti_rslt : + (isANDI) ? (rs1_val & Iimm) : + (isORI) ? (rs1_val | Iimm) : + (isXORI) ? (rs1_val ^ Iimm) : + (isSLLI) ? (rs1_val << Iimm[4:0]) : + (isSRLI) ? (rs1_val >> Iimm[4:0]) : + (isAND) ? (rs1_val & rs2_val) : + (isOR) ? (rs1_val | rs2_val) : + (isXOR) ? (rs1_val ^ rs2_val) : + (isSUB) ? (rs1_val - rs2_val) : + (isSLL) ? (rs1_val << rs2_val[4:0]) : + (isSRL) ? (rs1_val >> rs2_val[4:0]) : + (isSLTIU) ? (sltiu_rslt) : + (isLUI) ? ({Iimm[31:12], 12'b0}) : + (isAUIPC) ? (pc + Iimm) : + (isJAL || isJALR) ? (pc + 32'd4) : + (isSRA) ? (sra_rslt[31:0]) : + (isSRAI) ? (srai_rslt[31:0]) : + (is_load) ? (load_data) : //For register writeback + 32'b0; + + + wire signed [31:0] signed_rs1 = rs1_val; + wire signed [31:0] signed_rs2 = rs2_val; + + wire branch_taken = + isBEQ ? (rs1_val == rs2_val) : + isBNE ? (rs1_val != rs2_val) : + isBLT ? (signed_rs1 < signed_rs2) : + isBGE ? (signed_rs1 >= signed_rs2) : + isBLTU ? (rs1_val < rs2_val) : + isBGEU ? (rs1_val >= rs2_val) : + 1'b0; + + // Next PC calculation - FIXED: using wire for continuous assignment + wire [31:0] branch_target = pc + Bimm; + wire [31:0] next_pc_base = pc + 32'h4; + wire [31:0] jalr_tgt_pc = rs1_val + Iimm; + + assign next_pc = branch_taken ? branch_target : + isJAL ? branch_target : + isJALR ? jalr_tgt_pc : + next_pc_base; + + // Register write back + wire rf_write_enable = (rd != 0) && ( + isADDI || isADD || isSUB || + isJAL || isJALR || + isSLT || isSLTU || isSLTI || isSLTIU || + isSLL || isSRL || isSRA || isSLLI || isSRLI || isSRAI || + isLB || isLH || isLW || isLBU || isLHU || + isXORI || isORI || isANDI || + isXOR || isOR || isAND || + is_load || isLUI || isAUIPC + ); + + + wire [31:0] writeback_data = is_load ? load_data : alu_result; + + // PC update + always @(posedge clk) begin + if (rst) begin + pc <= 32'h0; + end else begin + pc <= next_pc; + end + end + + // Register write back +always @(posedge clk) begin + if (rf_write_enable && !rst) begin + rf[rd] <= writeback_data; // Use writeback_data instead of alu_result + $display("RF Write: x%d = %h", rd, writeback_data); + end +end + +// Debug monitoring + always @(posedge clk) begin + if (!rst) begin + $display("PC=%08h, Instr=%08h, rs1=x%d(%h), rs2=x%d(%h), rd=x%d, branch_taken=%b", + pc, instr, rs1, rs1_val, rs2, rs2_val, rd, branch_taken); + if (pc[1:0] != 2'b00) begin + $display("WARNING: PC not word-aligned: %h", pc); + end + end + end + + always @(posedge clk) begin + if (!rst && (opcode == 7'b0000011)) begin + $display("LOAD: byte_addr=%h, word_addr=%h, data=%h, funct3=%b", + mem_addr, word_addr, load_data, funct3); + end + end + +endmodule diff --git a/chapter5/RISCcore2.v b/chapter5/RISCcore2.v index f0dca8c..55c31d4 100644 --- a/chapter5/RISCcore2.v +++ b/chapter5/RISCcore2.v @@ -11,7 +11,16 @@ module RISCcore2 ( initial begin $readmemh("program.hex", imem); end - assign instr = imem[pc[31:2]]; // Word-aligned access + assign instr = (pc[31:2] < 64) ? imem[pc[31:2]] : 32'h00000013; // Word-aligned access + + //Data Mem + reg [31:0] dmem [0:63]; + integer j; + initial begin + for(j = 0; j < 64; j = j + 1) begin + dmem[j] = 32'b0; + end + end // Instruction decoder wire [6:0] opcode = instr[6:0]; @@ -39,9 +48,7 @@ module RISCcore2 ( wire [31:0] Jimm = {{11{instr[31]}}, instr[31], instr[19:12], instr[20], instr[30:21], 1'b0}; // Register file - reg [31:0] rf [0:31]; - - // Initialize register file (x0 always zero) + // Initialize register file (x0 always zero) integer i; initial begin for (i = 0; i < 32; i = i + 1) begin @@ -109,7 +116,58 @@ module RISCcore2 ( wire isJALR = (opcode == 7'b1100111) && (funct3 == 3'b000); + //Mem address calculation + wire [31:0] mem_addr = alu_result; + wire [31:0] word_addr = mem_addr[31:2]; + + //Mem address logic + //For simplicity we only implement all loads as word loads (lw) + //byte/halfword ignored + wire is_load = (opcode == 7'b0000011); // All load instructions + wire is_store = (opcode == 7'b0100011); // All store instructions + wire is_mem_op = is_store || is_load; + + assign loaded_data = (mem_addr[31:2] < 64) ? dmem[mem_addr[31:2]] : 32'b0; + + reg [31:0] load_data; + always @(*) begin + if (mem_addr[1:0] == 2'b00) begin + case (funct3) + 3'b000: load_data = {{24{loaded_data[7]}}, loaded_data[7:0]}; //LB sign-extended byte 0 + 3'b001: load_data = {{16{loaded_data[15]}}, loaded_data[15:0]}; //LH sign-extended halfword + 3'b010: load_data = loaded_data; //LW full word + 3'b100: load_data = {24'b0, loaded_data[7:0]}; //LBU zero-extended byte 0 + 3'b101: load_data = {16'b0, loaded_data[15:0]}; // LHU zero-extended halfword + default: load_data = 32'b0; + endcase + end else begin + load_data = 32'b0; // Misaligned access not supported + $display("ERROR: Misaligned memory address at addr %h", mem_addr); + end + end + + + //Store operations + always @(posedge clk) begin + if (!rst && (word_addr < 64) && (mem_addr[1:0] == 2'b00)) begin + case (funct3) + 3'b000: begin //SB: store byte 0 + dmem[word_addr][7:0] <= rs2_val[7:0]; + end + 3'b001: begin //SH: store halfword + dmem[word_addr][15:0] <= rs2_val[15:0]; + end + 3'b010: begin //SW: store word + dmem[word_addr] <= rs2_val; + end + endcase + $display("MEM Write: word_addr=%h, data=%h", word_addr, rs2_val); + end + end + + // ALU operations + //sltu and slt wire [31:0] sltu_rslt = {31'b0, (rs1_val < rs2_val)}; wire [31:0] signed_slt = (rs1_val[31] && !rs2_val[31]) ? 1'b1 : @@ -124,7 +182,8 @@ module RISCcore2 ( wire [63:0] srai_rslt = {SErs1_val >> Iimm[4:0]}; wire [31:0] sltiu_rslt = {31'b0, (rs1_val < Iimm)}; - wire [31:0] alu_result = (isADDI) ? (rs1_val + Iimm) : + wire [31:0] alu_result = (is_mem_op) ? (rs1_val + Iimm) : //Mem address computation first + (isADDI) ? (rs1_val + Iimm) : (isADD) ? (rs1_val + rs2_val) : (isSLT) ? slt_rslt : (isSLTU) ? sltu_rslt : @@ -145,13 +204,13 @@ module RISCcore2 ( (isSLTIU) ? (sltiu_rslt) : (isLUI) ? ({Iimm[31:12], 12'b0}) : (isAUIPC) ? (pc + Iimm) : - (isJAL) ? (pc + 32'd4) : - (isJALR) ? (pc + 32'd4) : + (isJAL || isJALR) ? (pc + 32'd4) : (isSRA) ? (sra_rslt[31:0]) : - (isSRAI) ? (srai_rslt[31:0]) : + (isSRAI) ? (srai_rslt[31:0]) : + (is_load) ? (load_data) : //For register writeback 32'b0; - // Branch condition logic + wire signed [31:0] signed_rs1 = rs1_val; wire signed [31:0] signed_rs2 = rs2_val; @@ -164,14 +223,42 @@ module RISCcore2 ( isBGEU ? (rs1_val >= rs2_val) : 1'b0; + + // Store operations + always @(posedge clk) begin + if(!rst) begin + if (is_store && (mem_addr[31:2] < 64)) begin + dmem[mem_addr[31:2]] <= rs2_val; + $display("MEM Write: address = %h, data=%h", mem_addr, rs2_val); + end + end + end + + // Next PC calculation - FIXED: using wire for continuous assignment wire [31:0] branch_target = pc + Bimm; wire [31:0] next_pc_base = pc + 32'h4; - assign next_pc = branch_taken ? branch_target : next_pc_base; + wire [31:0] jalr_tgt_pc = rs1_val + Iimm; + + assign next_pc = branch_taken ? branch_target : + isJAL ? branch_target : + isJALR ? jalr_tgt_pc : + next_pc_base; // Register write back - wire rf_write_enable = (rd != 0) && (isADDI || isADD); - wire [31:0] writeback_data = alu_result; + wire rf_write_enable = (rd != 0) && ( + isADDI || isADD || isSUB || + isJAL || isJALR || + isSLT || isSLTU || isSLTI || isSLTIU || + isSLL || isSRL || isSRA || isSLLI || isSRLI || isSRAI || + isLB || isLH || isLW || isLBU || isLHU || + isXORI || isORI || isANDI || + isXOR || isOR || isAND || + is_load || isLUI || isAUIPC + ); + + + wire [31:0] writeback_data = is_load ? load_data : alu_result; // PC update always @(posedge clk) begin @@ -183,14 +270,14 @@ module RISCcore2 ( end // Register write back - always @(posedge clk) begin - if (rf_write_enable && !rst) begin - rf[rd] <= writeback_data; - $display("RF Write: x%d = %h", rd, writeback_data); - end +always @(posedge clk) begin + if (rf_write_enable && !rst) begin + rf[rd] <= writeback_data; // Use writeback_data instead of alu_result + $display("RF Write: x%d = %h", rd, writeback_data); end +end - // Debug monitoring +// Debug monitoring always @(posedge clk) begin if (!rst) begin $display("PC=%08h, Instr=%08h, rs1=x%d(%h), rs2=x%d(%h), rd=x%d, branch_taken=%b", @@ -200,5 +287,12 @@ module RISCcore2 ( end end end + + always @(posedge clk) begin + if (!rst && (opcode == 7'b0000011)) begin + $display("LOAD: byte_addr=%h, word_addr=%h, data=%h, funct3=%b", + mem_addr, word_addr, load_data, funct3); + end + end endmodule diff --git a/chapter5/program.hex b/chapter5/program.hex index faf6fd9..0769757 100644 --- a/chapter5/program.hex +++ b/chapter5/program.hex @@ -1,15 +1,12 @@ -00100093 // addi x1, x0, 1 (x1 = 1) -00200113 // addi x2, x0, 2 (x2 = 2) -00300193 // addi x3, x0, 3 (x3 = 3) -00400213 // addi x4, x0, 4 (x4 = 4) -00500293 // addi x5, x0, 5 (x5 = 5) -00600313 // addi x6, x0, 6 (x6 = 6) -00700393 // addi x7, x0, 7 (x7 = 7) -00800413 // addi x8, x0, 8 (x8 = 8) -00900493 // addi x9, x0, 9 (x9 = 9) -00510233 // add x4, x2, x5 (x4 = 2+3=5) -00620333 // add x6, x4, x6 (x6 = 5+6=11) -007303b3 // add x7, x6, x7 (x7 = 11+7=18) -00840433 // add x8, x8, x8 (x8 = 8+8=16) -009484b3 // add x9, x9, x9 (x9 = 9+9=18) -0000006f // jal x0, 0 (infinite loop) +00000093 // addi x1, x0, 0 - x1 = 0 +00100113 // addi x2, x0, 1 - x2 = 1 +00200193 // addi x3, x0, 2 - x3 = 2 +003081b3 // add x3, x1, x3 - x3 = 0 + 2 = 2 +00420233 // add x4, x4, x4 - x4 = x4 + x4 (should be 0) +00228463 // beq x5, x2, 8 - branch if x5 == x2 (should not take) +00400463 // beq x0, x4, 8 - branch if 0 == 0 (should take) +00a00513 // addi x10, x0, 10 - x10 = 10 (should be skipped) +fff00513 // addi x10, x0, -1 - x10 = -1 (should execute) +00000013 // nop +00000013 // nop +00000013 // nop diff --git a/chapter5/program0.1.hex b/chapter5/program0.1.hex new file mode 100644 index 0000000..61fdaa4 --- /dev/null +++ b/chapter5/program0.1.hex @@ -0,0 +1,64 @@ +00000093 // addi x1, x0, 0 | x1 = 0 +00100113 // addi x2, x0, 1 | x2 = 1 +002081B3 // add x3, x1, x2 | x3 = x1 + x2 = 1 +00400293 // addi x5, x0, 4 | x5 = 4 (memory address) +0051A023 // sw x5, 0(x3) | Store x5 at address x3 (1) +0001A303 // lw x6, 0(x3) | Load from address x3 to x6 +00600393 // addi x7, x0, 6 | x7 = 6 +40738433 // sub x8, x7, x7 | x8 = x7 - x7 = 0 +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop +00000013 // nop diff --git a/chapter5/risc_tb b/chapter5/risc_tb new file mode 100755 index 0000000..1159b7b --- /dev/null +++ b/chapter5/risc_tb @@ -0,0 +1,1318 @@ +#! /usr/bin/vvp +:ivl_version "12.0 (stable)"; +:ivl_delay_selection "TYPICAL"; +:vpi_time_precision - 12; +:vpi_module "/usr/lib64/ivl/system.vpi"; +:vpi_module "/usr/lib64/ivl/vhdl_sys.vpi"; +:vpi_module "/usr/lib64/ivl/vhdl_textio.vpi"; +:vpi_module "/usr/lib64/ivl/v2005_math.vpi"; +:vpi_module "/usr/lib64/ivl/va_math.vpi"; +S_0x5574d64b4dc0 .scope module, "RISCCore_tb" "RISCCore_tb" 2 3; + .timescale -9 -12; +v0x5574d64fe3f0_0 .var "clk", 0 0; +v0x5574d64fe4b0_0 .net "instr", 31 0, L_0x5574d650ed30; 1 drivers +v0x5574d64fe550_0 .net "next_pc", 31 0, L_0x5574d652d4e0; 1 drivers +v0x5574d64fe5f0_0 .net "pc", 31 0, v0x5574d64fd230_0; 1 drivers +v0x5574d64fe690_0 .var "rst", 0 0; +S_0x5574d64b4a40 .scope begin, "memory_display" "memory_display" 2 47, 2 47 0, S_0x5574d64b4dc0; + .timescale -9 -12; +v0x5574d64c8720_0 .var/i "i", 31 0; +S_0x5574d64e56f0 .scope module, "uut" "RISCCore" 2 11, 3 1 0, S_0x5574d64b4dc0; + .timescale 0 0; + .port_info 0 /INPUT 1 "rst"; + .port_info 1 /INPUT 1 "clk"; + .port_info 2 /OUTPUT 32 "pc"; + .port_info 3 /OUTPUT 32 "next_pc"; + .port_info 4 /OUTPUT 32 "instr"; +L_0x5574d650f5a0 .functor OR 1, L_0x5574d650fd00, L_0x5574d650fe20, C4<0>, C4<0>; +L_0x5574d64c8600 .functor OR 1, L_0x5574d650fc30, L_0x5574d6510010, C4<0>, C4<0>; +L_0x5574d64c9160 .functor OR 1, L_0x5574d64c8600, L_0x5574d6510290, C4<0>, C4<0>; +L_0x5574d64ca7f0 .functor OR 1, L_0x5574d64c9160, L_0x5574d6510420, C4<0>, C4<0>; +L_0x5574d65107a0 .functor OR 1, L_0x5574d64ca7f0, L_0x5574d65106b0, C4<0>, C4<0>; +L_0x5574d6510ba0 .functor OR 1, L_0x5574d65108b0, L_0x5574d6510a80, C4<0>, C4<0>; +L_0x5574d65110d0 .functor OR 1, L_0x5574d6510e00, L_0x5574d6510fe0, C4<0>, C4<0>; +L_0x5574d6516170 .functor AND 1, L_0x5574d6515d10, L_0x5574d6515e00, C4<1>, C4<1>; +L_0x5574d6516900 .functor AND 1, L_0x5574d65162d0, L_0x5574d65165d0, C4<1>, C4<1>; +L_0x5574d6516b50 .functor AND 1, L_0x5574d6516900, L_0x5574d6516a10, C4<1>, C4<1>; +L_0x5574d6516fb0 .functor AND 1, L_0x5574d65111e0, L_0x5574d6516cc0, C4<1>, C4<1>; +L_0x5574d6517160 .functor AND 1, L_0x5574d65111e0, L_0x5574d6517070, C4<1>, C4<1>; +L_0x5574d65175e0 .functor AND 1, L_0x5574d65111e0, L_0x5574d6517290, C4<1>, C4<1>; +L_0x5574d6517740 .functor AND 1, L_0x5574d65111e0, L_0x5574d6517650, C4<1>, C4<1>; +L_0x5574d6517220 .functor AND 1, L_0x5574d65111e0, L_0x5574d6517880, C4<1>, C4<1>; +L_0x5574d6517d20 .functor AND 1, L_0x5574d65111e0, L_0x5574d6517c30, C4<1>, C4<1>; +L_0x5574d6518210 .functor AND 1, L_0x5574d65107a0, L_0x5574d6517e70, C4<1>, C4<1>; +L_0x5574d6518410 .functor AND 1, L_0x5574d65107a0, L_0x5574d6518320, C4<1>, C4<1>; +L_0x5574d6518a40 .functor AND 1, L_0x5574d6518570, L_0x5574d6518920, C4<1>, C4<1>; +L_0x5574d6518ee0 .functor AND 1, L_0x5574d65107a0, L_0x5574d6518b50, C4<1>, C4<1>; +L_0x5574d6519080 .functor AND 1, L_0x5574d65107a0, L_0x5574d65184d0, C4<1>, C4<1>; +L_0x5574d6519510 .functor AND 1, L_0x5574d65110d0, L_0x5574d6519140, C4<1>, C4<1>; +L_0x5574d65199e0 .functor AND 1, L_0x5574d65110d0, L_0x5574d65196e0, C4<1>, C4<1>; +L_0x5574d6519fa0 .functor AND 1, L_0x5574d6519aa0, L_0x5574d6519e80, C4<1>, C4<1>; +L_0x5574d651a540 .functor AND 1, L_0x5574d6510ba0, L_0x5574d651a180, C4<1>, C4<1>; +L_0x7f2b9dca1f00 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x5574d651a6f0 .functor XNOR 1, L_0x5574d651a650, L_0x7f2b9dca1f00, C4<0>, C4<0>; +L_0x5574d651a910 .functor AND 1, L_0x5574d651a540, L_0x5574d651a6f0, C4<1>, C4<1>; +L_0x5574d651adf0 .functor AND 1, L_0x5574d6510ba0, L_0x5574d651aa20, C4<1>, C4<1>; +L_0x7f2b9dca1f90 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x5574d651b040 .functor XNOR 1, L_0x5574d651afa0, L_0x7f2b9dca1f90, C4<0>, C4<0>; +L_0x5574d651b130 .functor AND 1, L_0x5574d651adf0, L_0x5574d651b040, C4<1>, C4<1>; +L_0x5574d651b810 .functor AND 1, L_0x5574d651b340, L_0x5574d651b720, C4<1>, C4<1>; +L_0x5574d651bd10 .functor AND 1, L_0x5574d651b810, L_0x5574d651b920, C4<1>, C4<1>; +L_0x5574d651c020 .functor AND 1, L_0x5574d65107a0, L_0x5574d651bf30, C4<1>, C4<1>; +L_0x5574d651c510 .functor AND 1, L_0x5574d65107a0, L_0x5574d651c0e0, C4<1>, C4<1>; +L_0x5574d651cc50 .functor AND 1, L_0x5574d651c6f0, L_0x5574d651c810, C4<1>, C4<1>; +L_0x5574d651ce50 .functor AND 1, L_0x5574d651cc50, L_0x5574d651cd60, C4<1>, C4<1>; +L_0x5574d651d4b0 .functor AND 1, L_0x5574d651c5d0, L_0x5574d651d3c0, C4<1>, C4<1>; +L_0x5574d651d9f0 .functor AND 1, L_0x5574d651d4b0, L_0x5574d651d5c0, C4<1>, C4<1>; +L_0x5574d651e1a0 .functor AND 1, L_0x5574d651dc40, L_0x5574d651dd30, C4<1>, C4<1>; +L_0x5574d651e820 .functor AND 1, L_0x5574d651e1a0, L_0x5574d651e350, C4<1>, C4<1>; +L_0x5574d651efd0 .functor AND 1, L_0x5574d651ea80, L_0x5574d651eb70, C4<1>, C4<1>; +L_0x5574d651f670 .functor AND 1, L_0x5574d651efd0, L_0x5574d651f180, C4<1>, C4<1>; +L_0x5574d651fe50 .functor AND 1, L_0x5574d651f8e0, L_0x5574d651f9d0, C4<1>, C4<1>; +L_0x5574d6520510 .functor AND 1, L_0x5574d651fe50, L_0x5574d6520000, C4<1>, C4<1>; +L_0x5574d6520d20 .functor AND 1, L_0x5574d6520790, L_0x5574d6520880, C4<1>, C4<1>; +L_0x5574d6521400 .functor AND 1, L_0x5574d6520e30, L_0x5574d6520f20, C4<1>, C4<1>; +L_0x5574d6521c70 .functor AND 1, L_0x5574d6521690, L_0x5574d6521780, C4<1>, C4<1>; +L_0x5574d6522370 .functor AND 1, L_0x5574d6521d80, L_0x5574d6521e70, C4<1>, C4<1>; +L_0x5574d6522700 .functor AND 1, L_0x5574d6522370, L_0x5574d6522610, C4<1>, C4<1>; +L_0x5574d6523220 .functor AND 1, L_0x5574d6522810, L_0x5574d6522cf0, C4<1>, C4<1>; +L_0x5574d65239c0 .functor AND 1, L_0x5574d6523220, L_0x5574d65234d0, C4<1>, C4<1>; +L_0x5574d65240f0 .functor AND 1, L_0x5574d6523ad0, L_0x5574d6523bc0, C4<1>, C4<1>; +L_0x5574d65244a0 .functor AND 1, L_0x5574d65240f0, L_0x5574d65243b0, C4<1>, C4<1>; +L_0x5574d6524be0 .functor AND 1, L_0x5574d65245b0, L_0x5574d6524ac0, C4<1>, C4<1>; +L_0x5574d65246a0 .functor AND 1, L_0x5574d6524be0, L_0x5574d6524eb0, C4<1>, C4<1>; +L_0x5574d65250c0 .functor AND 1, L_0x5574d6525430, L_0x5574d6524fa0, C4<1>, C4<1>; +L_0x5574d6525800 .functor OR 1, L_0x5574d65256e0, L_0x5574d65255c0, C4<0>, C4<0>; +L_0x5574d6525c40 .functor AND 1, L_0x5574d6525a10, L_0x5574d6525b50, C4<1>, C4<1>; +L_0x5574d6526100 .functor AND 1, L_0x5574d65265a0, L_0x5574d6526640, C4<1>, C4<1>; +L_0x5574d6527130 .functor XNOR 1, L_0x5574d65269b0, L_0x5574d6526a50, C4<0>, C4<0>; +L_0x5574d6528110 .functor AND 32, L_0x5574d6514f60, L_0x5574d6511a90, C4<11111111111111111111111111111111>, C4<11111111111111111111111111111111>; +L_0x5574d65282f0 .functor OR 32, L_0x5574d6514f60, L_0x5574d6511a90, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x5574d6528390 .functor XOR 32, L_0x5574d6514f60, L_0x5574d6511a90, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x5574d65288d0 .functor AND 32, L_0x5574d6514f60, L_0x5574d6515960, C4<11111111111111111111111111111111>, C4<11111111111111111111111111111111>; +L_0x5574d6528940 .functor OR 32, L_0x5574d6514f60, L_0x5574d6515960, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x5574d65289e0 .functor XOR 32, L_0x5574d6514f60, L_0x5574d6515960, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x5574d65295a0 .functor OR 1, L_0x5574d65249c0, L_0x5574d65250c0, C4<0>, C4<0>; +L_0x5574d65297d0 .functor BUFZ 32, L_0x5574d6514f60, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x5574d652c7e0 .functor BUFZ 32, L_0x5574d6515960, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x5574d652cf30 .functor OR 1, L_0x5574d6516170, L_0x5574d6516b50, C4<0>, C4<0>; +L_0x5574d652e300 .functor OR 1, L_0x5574d652cf30, L_0x5574d65246a0, C4<0>, C4<0>; +L_0x5574d652e3c0 .functor OR 1, L_0x5574d652e300, L_0x5574d65249c0, C4<0>, C4<0>; +L_0x5574d652e6d0 .functor OR 1, L_0x5574d652e3c0, L_0x5574d65250c0, C4<0>, C4<0>; +L_0x5574d652e7d0 .functor OR 1, L_0x5574d652e6d0, L_0x5574d651a910, C4<0>, C4<0>; +L_0x5574d652eaa0 .functor OR 1, L_0x5574d652e7d0, L_0x5574d651b130, C4<0>, C4<0>; +L_0x5574d652eb60 .functor OR 1, L_0x5574d652eaa0, L_0x5574d651c020, C4<0>, C4<0>; +L_0x5574d652ee90 .functor OR 1, L_0x5574d652eb60, L_0x5574d651c510, C4<0>, C4<0>; +L_0x5574d652ef50 .functor OR 1, L_0x5574d652ee90, L_0x5574d651bd10, C4<0>, C4<0>; +L_0x5574d652f290 .functor OR 1, L_0x5574d652ef50, L_0x5574d651ce50, C4<0>, C4<0>; +L_0x5574d652f350 .functor OR 1, L_0x5574d652f290, L_0x5574d651d9f0, C4<0>, C4<0>; +L_0x5574d652f6a0 .functor OR 1, L_0x5574d652f350, L_0x5574d651e820, C4<0>, C4<0>; +L_0x5574d652f760 .functor OR 1, L_0x5574d652f6a0, L_0x5574d651f670, C4<0>, C4<0>; +L_0x5574d652fac0 .functor OR 1, L_0x5574d652f760, L_0x5574d6520510, C4<0>, C4<0>; +L_0x5574d652fb80 .functor OR 1, L_0x5574d652fac0, L_0x5574d6518210, C4<0>, C4<0>; +L_0x5574d652ff40 .functor OR 1, L_0x5574d652fb80, L_0x5574d6518410, C4<0>, C4<0>; +L_0x5574d6530050 .functor OR 1, L_0x5574d652ff40, L_0x5574d6518a40, C4<0>, C4<0>; +L_0x5574d6530420 .functor OR 1, L_0x5574d6530050, L_0x5574d6518ee0, C4<0>, C4<0>; +L_0x5574d6530530 .functor OR 1, L_0x5574d6530420, L_0x5574d6519080, C4<0>, C4<0>; +L_0x5574d6530910 .functor OR 1, L_0x5574d6530530, L_0x5574d6521c70, C4<0>, C4<0>; +L_0x5574d65309d0 .functor OR 1, L_0x5574d6530910, L_0x5574d6521400, C4<0>, C4<0>; +L_0x5574d6530d70 .functor OR 1, L_0x5574d65309d0, L_0x5574d6520d20, C4<0>, C4<0>; +L_0x5574d6530e30 .functor OR 1, L_0x5574d6530d70, L_0x5574d65244a0, C4<0>, C4<0>; +L_0x5574d65311e0 .functor OR 1, L_0x5574d6530e30, L_0x5574d65239c0, C4<0>, C4<0>; +L_0x5574d65312a0 .functor OR 1, L_0x5574d65311e0, L_0x5574d6522700, C4<0>, C4<0>; +L_0x5574d6531660 .functor OR 1, L_0x5574d65312a0, L_0x5574d65255c0, C4<0>, C4<0>; +L_0x5574d6531720 .functor OR 1, L_0x5574d6531660, L_0x5574d65247b0, C4<0>, C4<0>; +L_0x5574d6531af0 .functor OR 1, L_0x5574d6531720, L_0x5574d65248a0, C4<0>, C4<0>; +L_0x5574d6531bb0 .functor AND 1, L_0x5574d652df80, L_0x5574d6531af0, C4<1>, C4<1>; +v0x5574d64c9280_0 .net "Bimm", 31 0, L_0x5574d6513370; 1 drivers +v0x5574d64ca910_0 .net "Iimm", 31 0, L_0x5574d6511a90; 1 drivers +v0x5574d64e5a30_0 .net "Jimm", 31 0, L_0x5574d6514300; 1 drivers +v0x5574d64e5af0_0 .net "SErs1_val", 63 0, L_0x5574d65275c0; 1 drivers +v0x5574d64e5bd0_0 .net "Simm", 31 0, L_0x5574d65122c0; 1 drivers +v0x5574d64e5d00_0 .net "Uimm", 31 0, L_0x5574d6513800; 1 drivers +v0x5574d64e5de0_0 .net *"_ivl_1", 29 0, L_0x5574d64fe730; 1 drivers +v0x5574d64e5ec0_0 .net *"_ivl_10", 31 0, L_0x5574d650eb40; 1 drivers +v0x5574d64e5fa0_0 .net *"_ivl_100", 0 0, L_0x5574d6510e00; 1 drivers +L_0x7f2b9dca14e0 .functor BUFT 1, C4<0100111>, C4<0>, C4<0>, C4<0>; +v0x5574d64e6060_0 .net/2u *"_ivl_102", 6 0, L_0x7f2b9dca14e0; 1 drivers +v0x5574d64e6140_0 .net *"_ivl_104", 0 0, L_0x5574d6510fe0; 1 drivers +L_0x7f2b9dca1528 .functor BUFT 1, C4<1100011>, C4<0>, C4<0>, C4<0>; +v0x5574d64e6200_0 .net/2u *"_ivl_108", 6 0, L_0x7f2b9dca1528; 1 drivers +L_0x7f2b9dca1570 .functor BUFT 1, C4<1101111>, C4<0>, C4<0>, C4<0>; +v0x5574d64e62e0_0 .net/2u *"_ivl_112", 6 0, L_0x7f2b9dca1570; 1 drivers +v0x5574d64e63c0_0 .net *"_ivl_117", 0 0, L_0x5574d65114f0; 1 drivers +v0x5574d64e64a0_0 .net *"_ivl_118", 19 0, L_0x5574d65116a0; 1 drivers +v0x5574d64e6580_0 .net *"_ivl_121", 11 0, L_0x5574d65118d0; 1 drivers +v0x5574d64e6660_0 .net *"_ivl_125", 0 0, L_0x5574d6511bb0; 1 drivers +v0x5574d64e6740_0 .net *"_ivl_126", 19 0, L_0x5574d6511970; 1 drivers +v0x5574d64e6820_0 .net *"_ivl_129", 6 0, L_0x5574d6512040; 1 drivers +v0x5574d64e6900_0 .net *"_ivl_13", 29 0, L_0x5574d650ec10; 1 drivers +v0x5574d64e69e0_0 .net *"_ivl_131", 4 0, L_0x5574d6512220; 1 drivers +v0x5574d64e6ac0_0 .net *"_ivl_135", 0 0, L_0x5574d65125b0; 1 drivers +v0x5574d64e6ba0_0 .net *"_ivl_136", 18 0, L_0x5574d6512650; 1 drivers +v0x5574d64e6c80_0 .net *"_ivl_139", 0 0, L_0x5574d6512b60; 1 drivers +L_0x7f2b9dca10a8 .functor BUFT 1, C4<00000000000000000000000000010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64e6d60_0 .net/2u *"_ivl_14", 31 0, L_0x7f2b9dca10a8; 1 drivers +v0x5574d64e6e40_0 .net *"_ivl_141", 0 0, L_0x5574d6512c00; 1 drivers +v0x5574d64e6f20_0 .net *"_ivl_143", 5 0, L_0x5574d6513020; 1 drivers +v0x5574d64e7000_0 .net *"_ivl_145", 3 0, L_0x5574d65130f0; 1 drivers +L_0x7f2b9dca15b8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x5574d64e70e0_0 .net/2u *"_ivl_146", 0 0, L_0x7f2b9dca15b8; 1 drivers +v0x5574d64e71c0_0 .net *"_ivl_151", 19 0, L_0x5574d65135d0; 1 drivers +L_0x7f2b9dca1600 .functor BUFT 1, C4<000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e72a0_0 .net/2u *"_ivl_152", 11 0, L_0x7f2b9dca1600; 1 drivers +v0x5574d64e7380_0 .net *"_ivl_157", 0 0, L_0x5574d6513940; 1 drivers +v0x5574d64e7460_0 .net *"_ivl_158", 10 0, L_0x5574d6513b80; 1 drivers +v0x5574d64e7750_0 .net *"_ivl_161", 0 0, L_0x5574d6513ce0; 1 drivers +v0x5574d64e7830_0 .net *"_ivl_163", 7 0, L_0x5574d6513f30; 1 drivers +v0x5574d64e7910_0 .net *"_ivl_165", 0 0, L_0x5574d6513fd0; 1 drivers +v0x5574d64e79f0_0 .net *"_ivl_167", 9 0, L_0x5574d6514230; 1 drivers +L_0x7f2b9dca1648 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x5574d64e7ad0_0 .net/2u *"_ivl_168", 0 0, L_0x7f2b9dca1648; 1 drivers +v0x5574d64e7bb0_0 .net *"_ivl_172", 31 0, L_0x5574d6514730; 1 drivers +L_0x7f2b9dca1690 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e7c90_0 .net *"_ivl_175", 26 0, L_0x7f2b9dca1690; 1 drivers +L_0x7f2b9dca16d8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e7d70_0 .net/2u *"_ivl_176", 31 0, L_0x7f2b9dca16d8; 1 drivers +v0x5574d64e7e50_0 .net *"_ivl_178", 0 0, L_0x5574d6514870; 1 drivers +v0x5574d64e7f10_0 .net *"_ivl_180", 31 0, L_0x5574d6514b90; 1 drivers +v0x5574d64e7ff0_0 .net *"_ivl_182", 6 0, L_0x5574d6514c30; 1 drivers +L_0x7f2b9dca1720 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0x5574d64e80d0_0 .net *"_ivl_185", 1 0, L_0x7f2b9dca1720; 1 drivers +L_0x7f2b9dca1768 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e81b0_0 .net/2u *"_ivl_186", 31 0, L_0x7f2b9dca1768; 1 drivers +v0x5574d64e8290_0 .net *"_ivl_190", 31 0, L_0x5574d65150f0; 1 drivers +L_0x7f2b9dca17b0 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e8370_0 .net *"_ivl_193", 26 0, L_0x7f2b9dca17b0; 1 drivers +L_0x7f2b9dca17f8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e8450_0 .net/2u *"_ivl_194", 31 0, L_0x7f2b9dca17f8; 1 drivers +v0x5574d64e8530_0 .net *"_ivl_196", 0 0, L_0x5574d6515430; 1 drivers +v0x5574d64e85f0_0 .net *"_ivl_198", 31 0, L_0x5574d6515570; 1 drivers +v0x5574d64e86d0_0 .net *"_ivl_2", 31 0, L_0x5574d64fe850; 1 drivers +v0x5574d64e87b0_0 .net *"_ivl_20", 31 0, L_0x5574d650eff0; 1 drivers +v0x5574d64e8890_0 .net *"_ivl_200", 6 0, L_0x5574d6515820; 1 drivers +L_0x7f2b9dca1840 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0x5574d64e8970_0 .net *"_ivl_203", 1 0, L_0x7f2b9dca1840; 1 drivers +L_0x7f2b9dca1888 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e8a50_0 .net/2u *"_ivl_204", 31 0, L_0x7f2b9dca1888; 1 drivers +L_0x7f2b9dca18d0 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64e8b30_0 .net/2u *"_ivl_208", 6 0, L_0x7f2b9dca18d0; 1 drivers +v0x5574d64e8c10_0 .net *"_ivl_210", 0 0, L_0x5574d6515d10; 1 drivers +L_0x7f2b9dca1918 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e8cd0_0 .net/2u *"_ivl_212", 2 0, L_0x7f2b9dca1918; 1 drivers +v0x5574d64e8db0_0 .net *"_ivl_214", 0 0, L_0x5574d6515e00; 1 drivers +L_0x7f2b9dca1960 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64e8e70_0 .net/2u *"_ivl_218", 6 0, L_0x7f2b9dca1960; 1 drivers +v0x5574d64e8f50_0 .net *"_ivl_220", 0 0, L_0x5574d65162d0; 1 drivers +L_0x7f2b9dca19a8 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9010_0 .net/2u *"_ivl_222", 2 0, L_0x7f2b9dca19a8; 1 drivers +v0x5574d64e90f0_0 .net *"_ivl_224", 0 0, L_0x5574d65165d0; 1 drivers +v0x5574d64e91b0_0 .net *"_ivl_227", 0 0, L_0x5574d6516900; 1 drivers +L_0x7f2b9dca19f0 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9270_0 .net/2u *"_ivl_228", 6 0, L_0x7f2b9dca19f0; 1 drivers +L_0x7f2b9dca10f0 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9350_0 .net *"_ivl_23", 26 0, L_0x7f2b9dca10f0; 1 drivers +v0x5574d64e9430_0 .net *"_ivl_230", 0 0, L_0x5574d6516a10; 1 drivers +L_0x7f2b9dca1a38 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e94f0_0 .net/2u *"_ivl_234", 2 0, L_0x7f2b9dca1a38; 1 drivers +v0x5574d64e95d0_0 .net *"_ivl_236", 0 0, L_0x5574d6516cc0; 1 drivers +L_0x7f2b9dca1138 .functor BUFT 1, C4<00000000000000000000000000100000>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9690_0 .net/2u *"_ivl_24", 31 0, L_0x7f2b9dca1138; 1 drivers +L_0x7f2b9dca1a80 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9770_0 .net/2u *"_ivl_240", 2 0, L_0x7f2b9dca1a80; 1 drivers +v0x5574d64e9850_0 .net *"_ivl_242", 0 0, L_0x5574d6517070; 1 drivers +L_0x7f2b9dca1ac8 .functor BUFT 1, C4<100>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9910_0 .net/2u *"_ivl_246", 2 0, L_0x7f2b9dca1ac8; 1 drivers +v0x5574d64e99f0_0 .net *"_ivl_248", 0 0, L_0x5574d6517290; 1 drivers +L_0x7f2b9dca1b10 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9ab0_0 .net/2u *"_ivl_252", 2 0, L_0x7f2b9dca1b10; 1 drivers +v0x5574d64e9b90_0 .net *"_ivl_254", 0 0, L_0x5574d6517650; 1 drivers +L_0x7f2b9dca1b58 .functor BUFT 1, C4<110>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9c50_0 .net/2u *"_ivl_258", 2 0, L_0x7f2b9dca1b58; 1 drivers +v0x5574d64e9d30_0 .net *"_ivl_26", 0 0, L_0x5574d650f1d0; 1 drivers +v0x5574d64e9df0_0 .net *"_ivl_260", 0 0, L_0x5574d6517880; 1 drivers +L_0x7f2b9dca1ba0 .functor BUFT 1, C4<111>, C4<0>, C4<0>, C4<0>; +v0x5574d64e9eb0_0 .net/2u *"_ivl_264", 2 0, L_0x7f2b9dca1ba0; 1 drivers +v0x5574d64e9f90_0 .net *"_ivl_266", 0 0, L_0x5574d6517c30; 1 drivers +L_0x7f2b9dca1be8 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x5574d64ea050_0 .net/2u *"_ivl_270", 2 0, L_0x7f2b9dca1be8; 1 drivers +v0x5574d64ea130_0 .net *"_ivl_272", 0 0, L_0x5574d6517e70; 1 drivers +L_0x7f2b9dca1c30 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x5574d64ea1f0_0 .net/2u *"_ivl_276", 2 0, L_0x7f2b9dca1c30; 1 drivers +v0x5574d64ea2d0_0 .net *"_ivl_278", 0 0, L_0x5574d6518320; 1 drivers +v0x5574d64ea390_0 .net *"_ivl_28", 31 0, L_0x5574d650f310; 1 drivers +L_0x7f2b9dca1c78 .functor BUFT 1, C4<0000011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ea470_0 .net/2u *"_ivl_282", 6 0, L_0x7f2b9dca1c78; 1 drivers +v0x5574d64ea550_0 .net *"_ivl_284", 0 0, L_0x5574d6518570; 1 drivers +L_0x7f2b9dca1cc0 .functor BUFT 1, C4<010>, C4<0>, C4<0>, C4<0>; +v0x5574d64ea610_0 .net/2u *"_ivl_286", 2 0, L_0x7f2b9dca1cc0; 1 drivers +v0x5574d64ea6f0_0 .net *"_ivl_288", 0 0, L_0x5574d6518920; 1 drivers +L_0x7f2b9dca1d08 .functor BUFT 1, C4<100>, C4<0>, C4<0>, C4<0>; +v0x5574d64ea7b0_0 .net/2u *"_ivl_292", 2 0, L_0x7f2b9dca1d08; 1 drivers +v0x5574d64ea890_0 .net *"_ivl_294", 0 0, L_0x5574d6518b50; 1 drivers +L_0x7f2b9dca1d50 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x5574d64ea950_0 .net/2u *"_ivl_298", 2 0, L_0x7f2b9dca1d50; 1 drivers +v0x5574d64eaa30_0 .net *"_ivl_30", 6 0, L_0x5574d650f410; 1 drivers +v0x5574d64eab10_0 .net *"_ivl_300", 0 0, L_0x5574d65184d0; 1 drivers +L_0x7f2b9dca1d98 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x5574d64eabd0_0 .net/2u *"_ivl_304", 2 0, L_0x7f2b9dca1d98; 1 drivers +v0x5574d64eacb0_0 .net *"_ivl_306", 0 0, L_0x5574d6519140; 1 drivers +L_0x7f2b9dca1de0 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x5574d64ead70_0 .net/2u *"_ivl_310", 2 0, L_0x7f2b9dca1de0; 1 drivers +v0x5574d64eae50_0 .net *"_ivl_312", 0 0, L_0x5574d65196e0; 1 drivers +L_0x7f2b9dca1e28 .functor BUFT 1, C4<0100011>, C4<0>, C4<0>, C4<0>; +v0x5574d64eaf10_0 .net/2u *"_ivl_316", 6 0, L_0x7f2b9dca1e28; 1 drivers +v0x5574d64eaff0_0 .net *"_ivl_318", 0 0, L_0x5574d6519aa0; 1 drivers +L_0x7f2b9dca1e70 .functor BUFT 1, C4<010>, C4<0>, C4<0>, C4<0>; +v0x5574d64eb0b0_0 .net/2u *"_ivl_320", 2 0, L_0x7f2b9dca1e70; 1 drivers +v0x5574d64eb190_0 .net *"_ivl_322", 0 0, L_0x5574d6519e80; 1 drivers +L_0x7f2b9dca1eb8 .functor BUFT 1, C4<010>, C4<0>, C4<0>, C4<0>; +v0x5574d64eb250_0 .net/2u *"_ivl_326", 2 0, L_0x7f2b9dca1eb8; 1 drivers +v0x5574d64eb330_0 .net *"_ivl_328", 0 0, L_0x5574d651a180; 1 drivers +L_0x7f2b9dca1180 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0x5574d64eb3f0_0 .net *"_ivl_33", 1 0, L_0x7f2b9dca1180; 1 drivers +v0x5574d64eb4d0_0 .net *"_ivl_331", 0 0, L_0x5574d651a540; 1 drivers +v0x5574d64eb590_0 .net *"_ivl_333", 0 0, L_0x5574d651a650; 1 drivers +v0x5574d64eb670_0 .net/2u *"_ivl_334", 0 0, L_0x7f2b9dca1f00; 1 drivers +v0x5574d64eb750_0 .net *"_ivl_336", 0 0, L_0x5574d651a6f0; 1 drivers +L_0x7f2b9dca11c8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64eb810_0 .net/2u *"_ivl_34", 31 0, L_0x7f2b9dca11c8; 1 drivers +L_0x7f2b9dca1f48 .functor BUFT 1, C4<011>, C4<0>, C4<0>, C4<0>; +v0x5574d64eb8f0_0 .net/2u *"_ivl_340", 2 0, L_0x7f2b9dca1f48; 1 drivers +v0x5574d64eb9d0_0 .net *"_ivl_342", 0 0, L_0x5574d651aa20; 1 drivers +v0x5574d64eba90_0 .net *"_ivl_345", 0 0, L_0x5574d651adf0; 1 drivers +v0x5574d64ebb50_0 .net *"_ivl_347", 0 0, L_0x5574d651afa0; 1 drivers +v0x5574d64ebc30_0 .net/2u *"_ivl_348", 0 0, L_0x7f2b9dca1f90; 1 drivers +v0x5574d64ebd10_0 .net *"_ivl_350", 0 0, L_0x5574d651b040; 1 drivers +L_0x7f2b9dca1fd8 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ebdd0_0 .net/2u *"_ivl_354", 6 0, L_0x7f2b9dca1fd8; 1 drivers +v0x5574d64ebeb0_0 .net *"_ivl_356", 0 0, L_0x5574d651b340; 1 drivers +L_0x7f2b9dca2020 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x5574d64ebf70_0 .net/2u *"_ivl_358", 2 0, L_0x7f2b9dca2020; 1 drivers +v0x5574d64ec050_0 .net *"_ivl_360", 0 0, L_0x5574d651b720; 1 drivers +v0x5574d64ec110_0 .net *"_ivl_363", 0 0, L_0x5574d651b810; 1 drivers +L_0x7f2b9dca2068 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64ec1d0_0 .net/2u *"_ivl_364", 6 0, L_0x7f2b9dca2068; 1 drivers +v0x5574d64ec2b0_0 .net *"_ivl_366", 0 0, L_0x5574d651b920; 1 drivers +L_0x7f2b9dca20b0 .functor BUFT 1, C4<010>, C4<0>, C4<0>, C4<0>; +v0x5574d64ec370_0 .net/2u *"_ivl_370", 2 0, L_0x7f2b9dca20b0; 1 drivers +v0x5574d64ec450_0 .net *"_ivl_372", 0 0, L_0x5574d651bf30; 1 drivers +L_0x7f2b9dca20f8 .functor BUFT 1, C4<011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ec510_0 .net/2u *"_ivl_376", 2 0, L_0x7f2b9dca20f8; 1 drivers +v0x5574d64ec5f0_0 .net *"_ivl_378", 0 0, L_0x5574d651c0e0; 1 drivers +L_0x7f2b9dca2140 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ecec0_0 .net/2u *"_ivl_382", 6 0, L_0x7f2b9dca2140; 1 drivers +v0x5574d64ecfa0_0 .net *"_ivl_384", 0 0, L_0x5574d651c6f0; 1 drivers +L_0x7f2b9dca2188 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x5574d64ed060_0 .net/2u *"_ivl_386", 2 0, L_0x7f2b9dca2188; 1 drivers +v0x5574d64ed140_0 .net *"_ivl_388", 0 0, L_0x5574d651c810; 1 drivers +v0x5574d64ed200_0 .net *"_ivl_391", 0 0, L_0x5574d651cc50; 1 drivers +L_0x7f2b9dca21d0 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64ed2c0_0 .net/2u *"_ivl_392", 6 0, L_0x7f2b9dca21d0; 1 drivers +v0x5574d64ed3a0_0 .net *"_ivl_394", 0 0, L_0x5574d651cd60; 1 drivers +L_0x7f2b9dca2218 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ed460_0 .net/2u *"_ivl_398", 6 0, L_0x7f2b9dca2218; 1 drivers +v0x5574d64ed540_0 .net *"_ivl_400", 0 0, L_0x5574d651c5d0; 1 drivers +L_0x7f2b9dca2260 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x5574d64ed600_0 .net/2u *"_ivl_402", 2 0, L_0x7f2b9dca2260; 1 drivers +v0x5574d64ed6e0_0 .net *"_ivl_404", 0 0, L_0x5574d651d3c0; 1 drivers +v0x5574d64ed7a0_0 .net *"_ivl_407", 0 0, L_0x5574d651d4b0; 1 drivers +L_0x7f2b9dca22a8 .functor BUFT 1, C4<0100000>, C4<0>, C4<0>, C4<0>; +v0x5574d64ed860_0 .net/2u *"_ivl_408", 6 0, L_0x7f2b9dca22a8; 1 drivers +v0x5574d64ed940_0 .net *"_ivl_410", 0 0, L_0x5574d651d5c0; 1 drivers +L_0x7f2b9dca22f0 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64eda00_0 .net/2u *"_ivl_414", 6 0, L_0x7f2b9dca22f0; 1 drivers +v0x5574d64edae0_0 .net *"_ivl_416", 0 0, L_0x5574d651dc40; 1 drivers +L_0x7f2b9dca2338 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x5574d64edba0_0 .net/2u *"_ivl_418", 2 0, L_0x7f2b9dca2338; 1 drivers +v0x5574d64edc80_0 .net *"_ivl_420", 0 0, L_0x5574d651dd30; 1 drivers +v0x5574d64edd40_0 .net *"_ivl_423", 0 0, L_0x5574d651e1a0; 1 drivers +v0x5574d64ede00_0 .net *"_ivl_425", 5 0, L_0x5574d651e2b0; 1 drivers +L_0x7f2b9dca2380 .functor BUFT 1, C4<000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64edee0_0 .net/2u *"_ivl_426", 5 0, L_0x7f2b9dca2380; 1 drivers +v0x5574d64edfc0_0 .net *"_ivl_428", 0 0, L_0x5574d651e350; 1 drivers +L_0x7f2b9dca23c8 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ee080_0 .net/2u *"_ivl_432", 6 0, L_0x7f2b9dca23c8; 1 drivers +v0x5574d64ee160_0 .net *"_ivl_434", 0 0, L_0x5574d651ea80; 1 drivers +L_0x7f2b9dca2410 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x5574d64ee220_0 .net/2u *"_ivl_436", 2 0, L_0x7f2b9dca2410; 1 drivers +v0x5574d64ee300_0 .net *"_ivl_438", 0 0, L_0x5574d651eb70; 1 drivers +v0x5574d64ee3c0_0 .net *"_ivl_441", 0 0, L_0x5574d651efd0; 1 drivers +v0x5574d64ee480_0 .net *"_ivl_443", 5 0, L_0x5574d651f0e0; 1 drivers +L_0x7f2b9dca2458 .functor BUFT 1, C4<000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64ee560_0 .net/2u *"_ivl_444", 5 0, L_0x7f2b9dca2458; 1 drivers +v0x5574d64ee640_0 .net *"_ivl_446", 0 0, L_0x5574d651f180; 1 drivers +L_0x7f2b9dca24a0 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ee700_0 .net/2u *"_ivl_450", 6 0, L_0x7f2b9dca24a0; 1 drivers +v0x5574d64ee7e0_0 .net *"_ivl_452", 0 0, L_0x5574d651f8e0; 1 drivers +L_0x7f2b9dca24e8 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x5574d64ee8a0_0 .net/2u *"_ivl_454", 2 0, L_0x7f2b9dca24e8; 1 drivers +v0x5574d64ee980_0 .net *"_ivl_456", 0 0, L_0x5574d651f9d0; 1 drivers +v0x5574d64eea40_0 .net *"_ivl_459", 0 0, L_0x5574d651fe50; 1 drivers +v0x5574d64eeb00_0 .net *"_ivl_461", 5 0, L_0x5574d651ff60; 1 drivers +L_0x7f2b9dca2530 .functor BUFT 1, C4<010000>, C4<0>, C4<0>, C4<0>; +v0x5574d64eebe0_0 .net/2u *"_ivl_462", 5 0, L_0x7f2b9dca2530; 1 drivers +v0x5574d64eecc0_0 .net *"_ivl_464", 0 0, L_0x5574d6520000; 1 drivers +L_0x7f2b9dca2578 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64eed80_0 .net/2u *"_ivl_468", 6 0, L_0x7f2b9dca2578; 1 drivers +v0x5574d64eee60_0 .net *"_ivl_470", 0 0, L_0x5574d6520790; 1 drivers +L_0x7f2b9dca25c0 .functor BUFT 1, C4<111>, C4<0>, C4<0>, C4<0>; +v0x5574d64eef20_0 .net/2u *"_ivl_472", 2 0, L_0x7f2b9dca25c0; 1 drivers +v0x5574d64ef000_0 .net *"_ivl_474", 0 0, L_0x5574d6520880; 1 drivers +L_0x7f2b9dca2608 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ef0c0_0 .net/2u *"_ivl_478", 6 0, L_0x7f2b9dca2608; 1 drivers +v0x5574d64ef1a0_0 .net *"_ivl_480", 0 0, L_0x5574d6520e30; 1 drivers +L_0x7f2b9dca2650 .functor BUFT 1, C4<110>, C4<0>, C4<0>, C4<0>; +v0x5574d64ef260_0 .net/2u *"_ivl_482", 2 0, L_0x7f2b9dca2650; 1 drivers +v0x5574d64ef340_0 .net *"_ivl_484", 0 0, L_0x5574d6520f20; 1 drivers +L_0x7f2b9dca2698 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ef400_0 .net/2u *"_ivl_488", 6 0, L_0x7f2b9dca2698; 1 drivers +v0x5574d64ef4e0_0 .net *"_ivl_490", 0 0, L_0x5574d6521690; 1 drivers +L_0x7f2b9dca26e0 .functor BUFT 1, C4<100>, C4<0>, C4<0>, C4<0>; +v0x5574d64ef5a0_0 .net/2u *"_ivl_492", 2 0, L_0x7f2b9dca26e0; 1 drivers +v0x5574d64ef680_0 .net *"_ivl_494", 0 0, L_0x5574d6521780; 1 drivers +L_0x7f2b9dca2728 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64ef740_0 .net/2u *"_ivl_498", 6 0, L_0x7f2b9dca2728; 1 drivers +L_0x7f2b9dca1018 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0x5574d64ef820_0 .net *"_ivl_5", 1 0, L_0x7f2b9dca1018; 1 drivers +L_0x7f2b9dca1210 .functor BUFT 1, C4<0110111>, C4<0>, C4<0>, C4<0>; +v0x5574d64ef900_0 .net/2u *"_ivl_50", 6 0, L_0x7f2b9dca1210; 1 drivers +v0x5574d64ef9e0_0 .net *"_ivl_500", 0 0, L_0x5574d6521d80; 1 drivers +L_0x7f2b9dca2770 .functor BUFT 1, C4<111>, C4<0>, C4<0>, C4<0>; +v0x5574d64efaa0_0 .net/2u *"_ivl_502", 2 0, L_0x7f2b9dca2770; 1 drivers +v0x5574d64efb80_0 .net *"_ivl_504", 0 0, L_0x5574d6521e70; 1 drivers +v0x5574d64efc40_0 .net *"_ivl_507", 0 0, L_0x5574d6522370; 1 drivers +L_0x7f2b9dca27b8 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64efd00_0 .net/2u *"_ivl_508", 6 0, L_0x7f2b9dca27b8; 1 drivers +v0x5574d64efde0_0 .net *"_ivl_510", 0 0, L_0x5574d6522610; 1 drivers +L_0x7f2b9dca2800 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64efea0_0 .net/2u *"_ivl_514", 6 0, L_0x7f2b9dca2800; 1 drivers +v0x5574d64eff80_0 .net *"_ivl_516", 0 0, L_0x5574d6522810; 1 drivers +L_0x7f2b9dca2848 .functor BUFT 1, C4<110>, C4<0>, C4<0>, C4<0>; +v0x5574d64f0040_0 .net/2u *"_ivl_518", 2 0, L_0x7f2b9dca2848; 1 drivers +v0x5574d64f0120_0 .net *"_ivl_52", 0 0, L_0x5574d650fd00; 1 drivers +v0x5574d64f01e0_0 .net *"_ivl_520", 0 0, L_0x5574d6522cf0; 1 drivers +v0x5574d64f02a0_0 .net *"_ivl_523", 0 0, L_0x5574d6523220; 1 drivers +L_0x7f2b9dca2890 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f0360_0 .net/2u *"_ivl_524", 6 0, L_0x7f2b9dca2890; 1 drivers +v0x5574d64f0440_0 .net *"_ivl_526", 0 0, L_0x5574d65234d0; 1 drivers +L_0x7f2b9dca28d8 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f0500_0 .net/2u *"_ivl_530", 6 0, L_0x7f2b9dca28d8; 1 drivers +v0x5574d64f05e0_0 .net *"_ivl_532", 0 0, L_0x5574d6523ad0; 1 drivers +L_0x7f2b9dca2920 .functor BUFT 1, C4<100>, C4<0>, C4<0>, C4<0>; +v0x5574d64f06a0_0 .net/2u *"_ivl_534", 2 0, L_0x7f2b9dca2920; 1 drivers +v0x5574d64f0780_0 .net *"_ivl_536", 0 0, L_0x5574d6523bc0; 1 drivers +v0x5574d64f0840_0 .net *"_ivl_539", 0 0, L_0x5574d65240f0; 1 drivers +L_0x7f2b9dca1258 .functor BUFT 1, C4<0010111>, C4<0>, C4<0>, C4<0>; +v0x5574d64f0900_0 .net/2u *"_ivl_54", 6 0, L_0x7f2b9dca1258; 1 drivers +L_0x7f2b9dca2968 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f09e0_0 .net/2u *"_ivl_540", 6 0, L_0x7f2b9dca2968; 1 drivers +v0x5574d64f0ac0_0 .net *"_ivl_542", 0 0, L_0x5574d65243b0; 1 drivers +L_0x7f2b9dca29b0 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f0b80_0 .net/2u *"_ivl_546", 6 0, L_0x7f2b9dca29b0; 1 drivers +v0x5574d64f0c60_0 .net *"_ivl_548", 0 0, L_0x5574d65245b0; 1 drivers +L_0x7f2b9dca29f8 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f0d20_0 .net/2u *"_ivl_550", 2 0, L_0x7f2b9dca29f8; 1 drivers +v0x5574d64f0e00_0 .net *"_ivl_552", 0 0, L_0x5574d6524ac0; 1 drivers +v0x5574d64f0ec0_0 .net *"_ivl_555", 0 0, L_0x5574d6524be0; 1 drivers +L_0x7f2b9dca2a40 .functor BUFT 1, C4<0100000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f0f80_0 .net/2u *"_ivl_556", 6 0, L_0x7f2b9dca2a40; 1 drivers +v0x5574d64f1060_0 .net *"_ivl_558", 0 0, L_0x5574d6524eb0; 1 drivers +v0x5574d64f1120_0 .net *"_ivl_56", 0 0, L_0x5574d650fe20; 1 drivers +L_0x7f2b9dca2a88 .functor BUFT 1, C4<0110111>, C4<0>, C4<0>, C4<0>; +v0x5574d64f11e0_0 .net/2u *"_ivl_562", 6 0, L_0x7f2b9dca2a88; 1 drivers +L_0x7f2b9dca2ad0 .functor BUFT 1, C4<0010111>, C4<0>, C4<0>, C4<0>; +v0x5574d64f12c0_0 .net/2u *"_ivl_566", 6 0, L_0x7f2b9dca2ad0; 1 drivers +L_0x7f2b9dca2b18 .functor BUFT 1, C4<1101111>, C4<0>, C4<0>, C4<0>; +v0x5574d64f13a0_0 .net/2u *"_ivl_570", 6 0, L_0x7f2b9dca2b18; 1 drivers +L_0x7f2b9dca2b60 .functor BUFT 1, C4<1100111>, C4<0>, C4<0>, C4<0>; +v0x5574d64f1480_0 .net/2u *"_ivl_574", 6 0, L_0x7f2b9dca2b60; 1 drivers +v0x5574d64f1560_0 .net *"_ivl_576", 0 0, L_0x5574d6525430; 1 drivers +L_0x7f2b9dca2ba8 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f1620_0 .net/2u *"_ivl_578", 2 0, L_0x7f2b9dca2ba8; 1 drivers +v0x5574d64f1700_0 .net *"_ivl_580", 0 0, L_0x5574d6524fa0; 1 drivers +L_0x7f2b9dca2bf0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f17c0_0 .net/2u *"_ivl_584", 31 0, L_0x7f2b9dca2bf0; 1 drivers +L_0x7f2b9dca2c38 .functor BUFT 1, C4<0000011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f18a0_0 .net/2u *"_ivl_588", 6 0, L_0x7f2b9dca2c38; 1 drivers +L_0x7f2b9dca2c80 .functor BUFT 1, C4<0100011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f1980_0 .net/2u *"_ivl_592", 6 0, L_0x7f2b9dca2c80; 1 drivers +L_0x7f2b9dca2cc8 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f1a60_0 .net/2u *"_ivl_598", 30 0, L_0x7f2b9dca2cc8; 1 drivers +L_0x7f2b9dca1060 .functor BUFT 1, C4<00000000000000000000000001000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f1b40_0 .net/2u *"_ivl_6", 31 0, L_0x7f2b9dca1060; 1 drivers +L_0x7f2b9dca12a0 .functor BUFT 1, C4<0000011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f1c20_0 .net/2u *"_ivl_60", 6 0, L_0x7f2b9dca12a0; 1 drivers +v0x5574d64f1d00_0 .net *"_ivl_600", 0 0, L_0x5574d6525e80; 1 drivers +v0x5574d64f1dc0_0 .net *"_ivl_605", 0 0, L_0x5574d6525a10; 1 drivers +v0x5574d64f1ea0_0 .net *"_ivl_607", 0 0, L_0x5574d6525ab0; 1 drivers +v0x5574d64f1f80_0 .net *"_ivl_609", 0 0, L_0x5574d6525b50; 1 drivers +v0x5574d64f2040_0 .net *"_ivl_611", 0 0, L_0x5574d6525c40; 1 drivers +L_0x7f2b9dca2d10 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>; +v0x5574d64f2100_0 .net/2u *"_ivl_612", 31 0, L_0x7f2b9dca2d10; 1 drivers +v0x5574d64f21e0_0 .net *"_ivl_615", 0 0, L_0x5574d6525d50; 1 drivers +v0x5574d64f22c0_0 .net *"_ivl_617", 0 0, L_0x5574d65265a0; 1 drivers +v0x5574d64f2380_0 .net *"_ivl_619", 0 0, L_0x5574d6526640; 1 drivers +v0x5574d64f2460_0 .net *"_ivl_62", 0 0, L_0x5574d650fc30; 1 drivers +v0x5574d64f2520_0 .net *"_ivl_621", 0 0, L_0x5574d6526100; 1 drivers +L_0x7f2b9dca2d58 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f25e0_0 .net/2u *"_ivl_622", 31 0, L_0x7f2b9dca2d58; 1 drivers +v0x5574d64f26c0_0 .net *"_ivl_624", 0 0, L_0x5574d65261c0; 1 drivers +v0x5574d64f2780_0 .net *"_ivl_626", 31 0, L_0x5574d6526260; 1 drivers +L_0x7f2b9dca2da0 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f2860_0 .net *"_ivl_629", 30 0, L_0x7f2b9dca2da0; 1 drivers +v0x5574d64f2940_0 .net *"_ivl_630", 31 0, L_0x5574d65263a0; 1 drivers +L_0x7f2b9dca2de8 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f2a20_0 .net/2u *"_ivl_634", 30 0, L_0x7f2b9dca2de8; 1 drivers +v0x5574d64f2b00_0 .net *"_ivl_636", 62 0, L_0x5574d6526780; 1 drivers +L_0x7f2b9dca12e8 .functor BUFT 1, C4<0000111>, C4<0>, C4<0>, C4<0>; +v0x5574d64f2be0_0 .net/2u *"_ivl_64", 6 0, L_0x7f2b9dca12e8; 1 drivers +v0x5574d64f2cc0_0 .net *"_ivl_641", 0 0, L_0x5574d65269b0; 1 drivers +v0x5574d64f2da0_0 .net *"_ivl_643", 0 0, L_0x5574d6526a50; 1 drivers +v0x5574d64f2e80_0 .net *"_ivl_644", 0 0, L_0x5574d6527130; 1 drivers +L_0x7f2b9dca2e30 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f2f40_0 .net/2u *"_ivl_646", 30 0, L_0x7f2b9dca2e30; 1 drivers +v0x5574d64f3020_0 .net *"_ivl_649", 0 0, L_0x5574d65273e0; 1 drivers +v0x5574d64f3100_0 .net *"_ivl_650", 31 0, L_0x5574d6527480; 1 drivers +v0x5574d64f31e0_0 .net *"_ivl_655", 0 0, L_0x5574d6526dd0; 1 drivers +v0x5574d64f32c0_0 .net *"_ivl_656", 31 0, L_0x5574d6526e70; 1 drivers +v0x5574d64f33a0_0 .net *"_ivl_658", 0 0, L_0x5574d6526f60; 1 drivers +v0x5574d64f3460_0 .net *"_ivl_66", 0 0, L_0x5574d6510010; 1 drivers +v0x5574d64f3520_0 .net *"_ivl_660", 32 0, L_0x5574d6527000; 1 drivers +L_0x7f2b9dca2e78 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f3600_0 .net *"_ivl_665", 30 0, L_0x7f2b9dca2e78; 1 drivers +v0x5574d64f36e0_0 .net *"_ivl_667", 4 0, L_0x5574d6527700; 1 drivers +v0x5574d64ec6d0_0 .net *"_ivl_668", 63 0, L_0x5574d65277a0; 1 drivers +v0x5574d64ec7b0_0 .net *"_ivl_673", 4 0, L_0x5574d65279d0; 1 drivers +v0x5574d64ec890_0 .net *"_ivl_674", 63 0, L_0x5574d6528430; 1 drivers +L_0x7f2b9dca2ec0 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64ec970_0 .net/2u *"_ivl_678", 30 0, L_0x7f2b9dca2ec0; 1 drivers +v0x5574d64eca50_0 .net *"_ivl_680", 0 0, L_0x5574d6527ee0; 1 drivers +v0x5574d64ecb10_0 .net *"_ivl_684", 31 0, L_0x5574d6528070; 1 drivers +v0x5574d64ecbf0_0 .net *"_ivl_686", 31 0, L_0x5574d6528180; 1 drivers +v0x5574d64eccd0_0 .net *"_ivl_688", 31 0, L_0x5574d6528220; 1 drivers +v0x5574d64ecdb0_0 .net *"_ivl_69", 0 0, L_0x5574d64c8600; 1 drivers +v0x5574d64f4790_0 .net *"_ivl_690", 31 0, L_0x5574d6528110; 1 drivers +v0x5574d64f4850_0 .net *"_ivl_692", 31 0, L_0x5574d65282f0; 1 drivers +v0x5574d64f4930_0 .net *"_ivl_694", 31 0, L_0x5574d6528390; 1 drivers +v0x5574d64f4a10_0 .net *"_ivl_697", 4 0, L_0x5574d6528d40; 1 drivers +v0x5574d64f4af0_0 .net *"_ivl_698", 31 0, L_0x5574d65285c0; 1 drivers +L_0x7f2b9dca1330 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f4bd0_0 .net/2u *"_ivl_70", 6 0, L_0x7f2b9dca1330; 1 drivers +v0x5574d64f4cb0_0 .net *"_ivl_701", 4 0, L_0x5574d65286e0; 1 drivers +v0x5574d64f4d90_0 .net *"_ivl_702", 31 0, L_0x5574d65287b0; 1 drivers +v0x5574d64f4e70_0 .net *"_ivl_704", 31 0, L_0x5574d65288d0; 1 drivers +v0x5574d64f4f50_0 .net *"_ivl_706", 31 0, L_0x5574d6528940; 1 drivers +v0x5574d64f5030_0 .net *"_ivl_708", 31 0, L_0x5574d65289e0; 1 drivers +v0x5574d64f5110_0 .net *"_ivl_710", 31 0, L_0x5574d6528a80; 1 drivers +v0x5574d64f51f0_0 .net *"_ivl_713", 4 0, L_0x5574d6528de0; 1 drivers +v0x5574d64f52d0_0 .net *"_ivl_714", 31 0, L_0x5574d6528eb0; 1 drivers +v0x5574d64f53b0_0 .net *"_ivl_717", 4 0, L_0x5574d6528fd0; 1 drivers +v0x5574d64f5490_0 .net *"_ivl_718", 31 0, L_0x5574d6529070; 1 drivers +v0x5574d64f5570_0 .net *"_ivl_72", 0 0, L_0x5574d6510290; 1 drivers +v0x5574d64f5630_0 .net *"_ivl_721", 19 0, L_0x5574d6529190; 1 drivers +L_0x7f2b9dca2f08 .functor BUFT 1, C4<000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f5710_0 .net/2u *"_ivl_722", 11 0, L_0x7f2b9dca2f08; 1 drivers +v0x5574d64f57f0_0 .net *"_ivl_724", 31 0, L_0x5574d6529260; 1 drivers +v0x5574d64f58d0_0 .net *"_ivl_726", 31 0, L_0x5574d6529640; 1 drivers +v0x5574d64f59b0_0 .net *"_ivl_729", 0 0, L_0x5574d65295a0; 1 drivers +L_0x7f2b9dca2f50 .functor BUFT 1, C4<00000000000000000000000000000100>, C4<0>, C4<0>, C4<0>; +v0x5574d64f5a70_0 .net/2u *"_ivl_730", 31 0, L_0x7f2b9dca2f50; 1 drivers +v0x5574d64f5b50_0 .net *"_ivl_732", 31 0, L_0x5574d6529730; 1 drivers +v0x5574d64f5c30_0 .net *"_ivl_735", 31 0, L_0x5574d6529890; 1 drivers +v0x5574d64f5d10_0 .net *"_ivl_737", 31 0, L_0x5574d6529980; 1 drivers +L_0x7f2b9dca2f98 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f5df0_0 .net/2u *"_ivl_738", 31 0, L_0x7f2b9dca2f98; 1 drivers +v0x5574d64f5ed0_0 .net *"_ivl_740", 31 0, L_0x5574d6529a70; 1 drivers +v0x5574d64f5fb0_0 .net *"_ivl_742", 31 0, L_0x5574d652a2b0; 1 drivers +v0x5574d64f6090_0 .net *"_ivl_744", 31 0, L_0x5574d6529d50; 1 drivers +v0x5574d64f6170_0 .net *"_ivl_746", 31 0, L_0x5574d6529ee0; 1 drivers +v0x5574d64f6250_0 .net *"_ivl_748", 31 0, L_0x5574d652a070; 1 drivers +v0x5574d64f6330_0 .net *"_ivl_75", 0 0, L_0x5574d64c9160; 1 drivers +v0x5574d64f63f0_0 .net *"_ivl_750", 31 0, L_0x5574d652a970; 1 drivers +v0x5574d64f64d0_0 .net *"_ivl_752", 31 0, L_0x5574d652a3f0; 1 drivers +v0x5574d64f65b0_0 .net *"_ivl_754", 31 0, L_0x5574d652a580; 1 drivers +v0x5574d64f6690_0 .net *"_ivl_756", 31 0, L_0x5574d652a710; 1 drivers +v0x5574d64f6770_0 .net *"_ivl_758", 31 0, L_0x5574d652a8a0; 1 drivers +L_0x7f2b9dca1378 .functor BUFT 1, C4<0011011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f6850_0 .net/2u *"_ivl_76", 6 0, L_0x7f2b9dca1378; 1 drivers +v0x5574d64f6930_0 .net *"_ivl_760", 31 0, L_0x5574d652b150; 1 drivers +v0x5574d64f6a10_0 .net *"_ivl_762", 31 0, L_0x5574d652b2e0; 1 drivers +v0x5574d64f6af0_0 .net *"_ivl_764", 31 0, L_0x5574d652aab0; 1 drivers +v0x5574d64f6bd0_0 .net *"_ivl_766", 31 0, L_0x5574d652ac40; 1 drivers +v0x5574d64f6cb0_0 .net *"_ivl_768", 31 0, L_0x5574d652add0; 1 drivers +v0x5574d64f6d90_0 .net *"_ivl_770", 31 0, L_0x5574d652af60; 1 drivers +v0x5574d64f6e70_0 .net *"_ivl_772", 31 0, L_0x5574d652baa0; 1 drivers +v0x5574d64f6f50_0 .net *"_ivl_774", 31 0, L_0x5574d652bc30; 1 drivers +v0x5574d64f7030_0 .net *"_ivl_776", 31 0, L_0x5574d652b470; 1 drivers +v0x5574d64f7110_0 .net *"_ivl_778", 31 0, L_0x5574d652b5b0; 1 drivers +v0x5574d64f71f0_0 .net *"_ivl_78", 0 0, L_0x5574d6510420; 1 drivers +v0x5574d64f72b0_0 .net *"_ivl_780", 31 0, L_0x5574d652b740; 1 drivers +v0x5574d64f7390_0 .net *"_ivl_782", 31 0, L_0x5574d652b8d0; 1 drivers +v0x5574d64f7470_0 .net *"_ivl_790", 0 0, L_0x5574d652c850; 1 drivers +v0x5574d64f7530_0 .net *"_ivl_792", 0 0, L_0x5574d652bcd0; 1 drivers +v0x5574d64f75f0_0 .net *"_ivl_794", 0 0, L_0x5574d652bd70; 1 drivers +v0x5574d64f76b0_0 .net *"_ivl_796", 0 0, L_0x5574d652beb0; 1 drivers +v0x5574d64f7770_0 .net *"_ivl_798", 0 0, L_0x5574d652bf50; 1 drivers +v0x5574d64f7830_0 .net *"_ivl_8", 0 0, L_0x5574d650e9d0; 1 drivers +v0x5574d64f78f0_0 .net *"_ivl_800", 0 0, L_0x5574d652bff0; 1 drivers +L_0x7f2b9dca2fe0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x5574d64f79b0_0 .net/2u *"_ivl_802", 0 0, L_0x7f2b9dca2fe0; 1 drivers +v0x5574d64f7a90_0 .net *"_ivl_804", 0 0, L_0x5574d652c090; 1 drivers +v0x5574d64f7b70_0 .net *"_ivl_806", 0 0, L_0x5574d652c220; 1 drivers +v0x5574d64f7c50_0 .net *"_ivl_808", 0 0, L_0x5574d652d030; 1 drivers +v0x5574d64f7d30_0 .net *"_ivl_81", 0 0, L_0x5574d64ca7f0; 1 drivers +v0x5574d64f7df0_0 .net *"_ivl_810", 0 0, L_0x5574d652c9e0; 1 drivers +v0x5574d64f7ed0_0 .net *"_ivl_812", 0 0, L_0x5574d652cb70; 1 drivers +L_0x7f2b9dca3028 .functor BUFT 1, C4<00000000000000000000000000000100>, C4<0>, C4<0>, C4<0>; +v0x5574d64f7fb0_0 .net/2u *"_ivl_818", 31 0, L_0x7f2b9dca3028; 1 drivers +L_0x7f2b9dca13c0 .functor BUFT 1, C4<1100111>, C4<0>, C4<0>, C4<0>; +v0x5574d64f8090_0 .net/2u *"_ivl_82", 6 0, L_0x7f2b9dca13c0; 1 drivers +v0x5574d64f8170_0 .net *"_ivl_824", 31 0, L_0x5574d652d260; 1 drivers +v0x5574d64f8250_0 .net *"_ivl_826", 31 0, L_0x5574d652d3a0; 1 drivers +v0x5574d64f8330_0 .net *"_ivl_830", 31 0, L_0x5574d652d670; 1 drivers +L_0x7f2b9dca3070 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f8410_0 .net *"_ivl_833", 26 0, L_0x7f2b9dca3070; 1 drivers +L_0x7f2b9dca30b8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x5574d64f84f0_0 .net/2u *"_ivl_834", 31 0, L_0x7f2b9dca30b8; 1 drivers +v0x5574d64f85d0_0 .net *"_ivl_836", 0 0, L_0x5574d652df80; 1 drivers +v0x5574d64f8690_0 .net *"_ivl_839", 0 0, L_0x5574d652cf30; 1 drivers +v0x5574d64f8750_0 .net *"_ivl_84", 0 0, L_0x5574d65106b0; 1 drivers +v0x5574d64f8810_0 .net *"_ivl_841", 0 0, L_0x5574d652e300; 1 drivers +v0x5574d64f88d0_0 .net *"_ivl_843", 0 0, L_0x5574d652e3c0; 1 drivers +v0x5574d64f8990_0 .net *"_ivl_845", 0 0, L_0x5574d652e6d0; 1 drivers +v0x5574d64f8a50_0 .net *"_ivl_847", 0 0, L_0x5574d652e7d0; 1 drivers +v0x5574d64f8b10_0 .net *"_ivl_849", 0 0, L_0x5574d652eaa0; 1 drivers +v0x5574d64f8bd0_0 .net *"_ivl_851", 0 0, L_0x5574d652eb60; 1 drivers +v0x5574d64f8c90_0 .net *"_ivl_853", 0 0, L_0x5574d652ee90; 1 drivers +v0x5574d64f8d50_0 .net *"_ivl_855", 0 0, L_0x5574d652ef50; 1 drivers +v0x5574d64f8e10_0 .net *"_ivl_857", 0 0, L_0x5574d652f290; 1 drivers +v0x5574d64f8ed0_0 .net *"_ivl_859", 0 0, L_0x5574d652f350; 1 drivers +v0x5574d64f8f90_0 .net *"_ivl_861", 0 0, L_0x5574d652f6a0; 1 drivers +v0x5574d64f9050_0 .net *"_ivl_863", 0 0, L_0x5574d652f760; 1 drivers +v0x5574d64f9110_0 .net *"_ivl_865", 0 0, L_0x5574d652fac0; 1 drivers +v0x5574d64f91d0_0 .net *"_ivl_867", 0 0, L_0x5574d652fb80; 1 drivers +v0x5574d64f9290_0 .net *"_ivl_869", 0 0, L_0x5574d652ff40; 1 drivers +v0x5574d64f9350_0 .net *"_ivl_871", 0 0, L_0x5574d6530050; 1 drivers +v0x5574d64f9410_0 .net *"_ivl_873", 0 0, L_0x5574d6530420; 1 drivers +v0x5574d64f94d0_0 .net *"_ivl_875", 0 0, L_0x5574d6530530; 1 drivers +v0x5574d64f9590_0 .net *"_ivl_877", 0 0, L_0x5574d6530910; 1 drivers +v0x5574d64f9650_0 .net *"_ivl_879", 0 0, L_0x5574d65309d0; 1 drivers +L_0x7f2b9dca1408 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f9710_0 .net/2u *"_ivl_88", 6 0, L_0x7f2b9dca1408; 1 drivers +v0x5574d64f97f0_0 .net *"_ivl_881", 0 0, L_0x5574d6530d70; 1 drivers +v0x5574d64f98b0_0 .net *"_ivl_883", 0 0, L_0x5574d6530e30; 1 drivers +v0x5574d64f9970_0 .net *"_ivl_885", 0 0, L_0x5574d65311e0; 1 drivers +v0x5574d64f9a30_0 .net *"_ivl_887", 0 0, L_0x5574d65312a0; 1 drivers +v0x5574d64f9af0_0 .net *"_ivl_889", 0 0, L_0x5574d6531660; 1 drivers +v0x5574d64f9bb0_0 .net *"_ivl_891", 0 0, L_0x5574d6531720; 1 drivers +v0x5574d64f9c70_0 .net *"_ivl_893", 0 0, L_0x5574d6531af0; 1 drivers +v0x5574d64f9d30_0 .net *"_ivl_90", 0 0, L_0x5574d65108b0; 1 drivers +L_0x7f2b9dca1450 .functor BUFT 1, C4<0111011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f9df0_0 .net/2u *"_ivl_92", 6 0, L_0x7f2b9dca1450; 1 drivers +v0x5574d64f9ed0_0 .net *"_ivl_94", 0 0, L_0x5574d6510a80; 1 drivers +L_0x7f2b9dca1498 .functor BUFT 1, C4<0100011>, C4<0>, C4<0>, C4<0>; +v0x5574d64f9f90_0 .net/2u *"_ivl_98", 6 0, L_0x7f2b9dca1498; 1 drivers +v0x5574d64fa070_0 .net "alu_result", 31 0, L_0x5574d652c420; 1 drivers +v0x5574d64fa150_0 .net "branch_taken", 0 0, L_0x5574d652cd00; 1 drivers +v0x5574d64fa210_0 .net "branch_target", 31 0, L_0x5574d652ce90; 1 drivers +v0x5574d64fa2f0_0 .net "clk", 0 0, v0x5574d64fe3f0_0; 1 drivers +v0x5574d64fa3b0 .array "dmem", 31 0, 31 0; +v0x5574d64fa470_0 .net "funct3", 2 0, L_0x5574d650fa90; 1 drivers +v0x5574d64fa550_0 .net "funct7", 6 0, L_0x5574d650fb60; 1 drivers +v0x5574d64fa630_0 .var/i "i", 31 0; +v0x5574d64fa710 .array "imem", 63 0, 31 0; +v0x5574d64fa7d0_0 .net "instr", 31 0, L_0x5574d650ed30; alias, 1 drivers +v0x5574d64fa8b0_0 .net "isADD", 0 0, L_0x5574d6516b50; 1 drivers +v0x5574d64fa970_0 .net "isADDI", 0 0, L_0x5574d6516170; 1 drivers +v0x5574d64faa30_0 .net "isAND", 0 0, L_0x5574d6522700; 1 drivers +v0x5574d64faaf0_0 .net "isANDI", 0 0, L_0x5574d6520d20; 1 drivers +v0x5574d64fabb0_0 .net "isAUIPC", 0 0, L_0x5574d65248a0; 1 drivers +v0x5574d64fac70_0 .net "isBEQ", 0 0, L_0x5574d6516fb0; 1 drivers +v0x5574d64fad30_0 .net "isBGE", 0 0, L_0x5574d6517740; 1 drivers +v0x5574d64fadf0_0 .net "isBGEU", 0 0, L_0x5574d6517d20; 1 drivers +v0x5574d64faeb0_0 .net "isBLT", 0 0, L_0x5574d65175e0; 1 drivers +v0x5574d64faf70_0 .net "isBLTU", 0 0, L_0x5574d6517220; 1 drivers +v0x5574d64fb030_0 .net "isBNE", 0 0, L_0x5574d6517160; 1 drivers +v0x5574d64fb0f0_0 .net "isBType", 0 0, L_0x5574d65111e0; 1 drivers +v0x5574d64fb1b0_0 .net "isIType", 0 0, L_0x5574d65107a0; 1 drivers +v0x5574d64fb270_0 .net "isJAL", 0 0, L_0x5574d65249c0; 1 drivers +v0x5574d64fb330_0 .net "isJALR", 0 0, L_0x5574d65250c0; 1 drivers +v0x5574d64fb3f0_0 .net "isJType", 0 0, L_0x5574d65113d0; 1 drivers +v0x5574d64fb4b0_0 .net "isLB", 0 0, L_0x5574d6518210; 1 drivers +v0x5574d64fb570_0 .net "isLBU", 0 0, L_0x5574d6518ee0; 1 drivers +v0x5574d64fb630_0 .net "isLH", 0 0, L_0x5574d6518410; 1 drivers +v0x5574d64fb6f0_0 .net "isLHU", 0 0, L_0x5574d6519080; 1 drivers +v0x5574d64fb7b0_0 .net "isLUI", 0 0, L_0x5574d65247b0; 1 drivers +v0x5574d64fb870_0 .net "isLW", 0 0, L_0x5574d6518a40; 1 drivers +v0x5574d64fb930_0 .net "isOR", 0 0, L_0x5574d65239c0; 1 drivers +v0x5574d64fb9f0_0 .net "isORI", 0 0, L_0x5574d6521400; 1 drivers +v0x5574d64fbab0_0 .net "isRType", 0 0, L_0x5574d6510ba0; 1 drivers +v0x5574d64fbb70_0 .net "isSB", 0 0, L_0x5574d6519510; 1 drivers +v0x5574d64fbc30_0 .net "isSH", 0 0, L_0x5574d65199e0; 1 drivers +v0x5574d64fbcf0_0 .net "isSLL", 0 0, L_0x5574d651bd10; 1 drivers +v0x5574d64fbdb0_0 .net "isSLLI", 0 0, L_0x5574d651e820; 1 drivers +v0x5574d64fbe70_0 .net "isSLT", 0 0, L_0x5574d651a910; 1 drivers +v0x5574d64fbf30_0 .net "isSLTI", 0 0, L_0x5574d651c020; 1 drivers +v0x5574d64fbff0_0 .net "isSLTIU", 0 0, L_0x5574d651c510; 1 drivers +v0x5574d64fc0b0_0 .net "isSLTU", 0 0, L_0x5574d651b130; 1 drivers +v0x5574d64fc170_0 .net "isSRA", 0 0, L_0x5574d651d9f0; 1 drivers +v0x5574d64fc230_0 .net "isSRAI", 0 0, L_0x5574d6520510; 1 drivers +v0x5574d64fc2f0_0 .net "isSRL", 0 0, L_0x5574d651ce50; 1 drivers +v0x5574d64fc3b0_0 .net "isSRLI", 0 0, L_0x5574d651f670; 1 drivers +v0x5574d64fc470_0 .net "isSType", 0 0, L_0x5574d65110d0; 1 drivers +v0x5574d64fc530_0 .net "isSUB", 0 0, L_0x5574d65246a0; 1 drivers +v0x5574d64fc5f0_0 .net "isSW", 0 0, L_0x5574d6519fa0; 1 drivers +v0x5574d64fc6b0_0 .net "isUType", 0 0, L_0x5574d650f5a0; 1 drivers +v0x5574d64fc770_0 .net "isXOR", 0 0, L_0x5574d65244a0; 1 drivers +v0x5574d64fc830_0 .net "isXORI", 0 0, L_0x5574d6521c70; 1 drivers +v0x5574d64fc8f0_0 .net "is_load", 0 0, L_0x5574d65255c0; 1 drivers +v0x5574d64fc9b0_0 .net "is_mem_op", 0 0, L_0x5574d6525800; 1 drivers +v0x5574d64fca70_0 .net "is_store", 0 0, L_0x5574d65256e0; 1 drivers +v0x5574d64fcb30_0 .var/i "j", 31 0; +v0x5574d64fcc10_0 .net "jalr_tgt_pc", 31 0, L_0x5574d652d1c0; 1 drivers +v0x5574d64fccf0_0 .net "ld_data", 31 0, L_0x5574d650f500; 1 drivers +v0x5574d64fcdd0_0 .var "load_data", 31 0; +v0x5574d64fceb0_0 .net "mem_addr", 31 0, L_0x5574d6525970; 1 drivers +v0x5574d64fcf90_0 .net "next_pc", 31 0, L_0x5574d652d4e0; alias, 1 drivers +v0x5574d64fd070_0 .net "next_pc_base", 31 0, L_0x5574d652d0d0; 1 drivers +v0x5574d64fd150_0 .net "opcode", 6 0, L_0x5574d650f700; 1 drivers +v0x5574d64fd230_0 .var "pc", 31 0; +v0x5574d64fd310_0 .net "rd", 4 0, L_0x5574d650f960; 1 drivers +v0x5574d64fd3f0 .array "rf", 31 0, 31 0; +v0x5574d64fd4b0_0 .net "rf_write_enable", 0 0, L_0x5574d6531bb0; 1 drivers +v0x5574d64fd570_0 .net "rs1", 4 0, L_0x5574d650f7a0; 1 drivers +v0x5574d64fd650_0 .net "rs1_val", 31 0, L_0x5574d6514f60; 1 drivers +v0x5574d64fd730_0 .net "rs2", 4 0, L_0x5574d650f8c0; 1 drivers +v0x5574d64fd810_0 .net "rs2_val", 31 0, L_0x5574d6515960; 1 drivers +v0x5574d64fd8f0_0 .net "rst", 0 0, v0x5574d64fe690_0; 1 drivers +v0x5574d64fd9b0_0 .net/s "signed_rs1", 31 0, L_0x5574d65297d0; 1 drivers +v0x5574d64fda90_0 .net/s "signed_rs2", 31 0, L_0x5574d652c7e0; 1 drivers +v0x5574d64fdb70_0 .net "signed_slt", 31 0, L_0x5574d6526ba0; 1 drivers +v0x5574d64fdc50_0 .net "slt_rslt", 31 0, L_0x5574d65268c0; 1 drivers +v0x5574d64fdd30_0 .net "slti_rslt", 31 0, L_0x5574d6526c40; 1 drivers +v0x5574d64fde10_0 .net "sltiu_rslt", 31 0, L_0x5574d6527f80; 1 drivers +v0x5574d64fdef0_0 .net "sltu_rslt", 31 0, L_0x5574d6525fc0; 1 drivers +v0x5574d64fdfd0_0 .net "sra_rslt", 63 0, L_0x5574d65278e0; 1 drivers +v0x5574d64fe0b0_0 .net "srai_rslt", 63 0, L_0x5574d65284d0; 1 drivers +v0x5574d64fe190_0 .net "word_addr", 4 0, L_0x5574d650ef50; 1 drivers +v0x5574d64fe270_0 .net "writeback_data", 31 0, L_0x5574d6531fe0; 1 drivers +E_0x5574d644e830 .event posedge, v0x5574d64fa2f0_0; +E_0x5574d6404aa0/0 .event anyedge, v0x5574d64fd8f0_0, v0x5574d64fca70_0, v0x5574d64fe190_0, v0x5574d64fceb0_0; +E_0x5574d6404aa0/1 .event anyedge, v0x5574d64fa470_0, v0x5574d64fd810_0; +E_0x5574d6404aa0 .event/or E_0x5574d6404aa0/0, E_0x5574d6404aa0/1; +E_0x5574d64d0c70 .event anyedge, v0x5574d64fceb0_0, v0x5574d64fa470_0, v0x5574d64fccf0_0, v0x5574d64fc8f0_0; +L_0x5574d64fe730 .part v0x5574d64fd230_0, 2, 30; +L_0x5574d64fe850 .concat [ 30 2 0 0], L_0x5574d64fe730, L_0x7f2b9dca1018; +L_0x5574d650e9d0 .cmp/gt 32, L_0x7f2b9dca1060, L_0x5574d64fe850; +L_0x5574d650eb40 .array/port v0x5574d64fa710, L_0x5574d650ec10; +L_0x5574d650ec10 .part v0x5574d64fd230_0, 2, 30; +L_0x5574d650ed30 .functor MUXZ 32, L_0x7f2b9dca10a8, L_0x5574d650eb40, L_0x5574d650e9d0, C4<>; +L_0x5574d650ef50 .part L_0x5574d6525970, 2, 5; +L_0x5574d650eff0 .concat [ 5 27 0 0], L_0x5574d650ef50, L_0x7f2b9dca10f0; +L_0x5574d650f1d0 .cmp/gt 32, L_0x7f2b9dca1138, L_0x5574d650eff0; +L_0x5574d650f310 .array/port v0x5574d64fa3b0, L_0x5574d650f410; +L_0x5574d650f410 .concat [ 5 2 0 0], L_0x5574d650ef50, L_0x7f2b9dca1180; +L_0x5574d650f500 .functor MUXZ 32, L_0x7f2b9dca11c8, L_0x5574d650f310, L_0x5574d650f1d0, C4<>; +L_0x5574d650f700 .part L_0x5574d650ed30, 0, 7; +L_0x5574d650f7a0 .part L_0x5574d650ed30, 15, 5; +L_0x5574d650f8c0 .part L_0x5574d650ed30, 20, 5; +L_0x5574d650f960 .part L_0x5574d650ed30, 7, 5; +L_0x5574d650fa90 .part L_0x5574d650ed30, 12, 3; +L_0x5574d650fb60 .part L_0x5574d650ed30, 25, 7; +L_0x5574d650fd00 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1210; +L_0x5574d650fe20 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1258; +L_0x5574d650fc30 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca12a0; +L_0x5574d6510010 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca12e8; +L_0x5574d6510290 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1330; +L_0x5574d6510420 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1378; +L_0x5574d65106b0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca13c0; +L_0x5574d65108b0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1408; +L_0x5574d6510a80 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1450; +L_0x5574d6510e00 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1498; +L_0x5574d6510fe0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca14e0; +L_0x5574d65111e0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1528; +L_0x5574d65113d0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1570; +L_0x5574d65114f0 .part L_0x5574d650ed30, 31, 1; +LS_0x5574d65116a0_0_0 .concat [ 1 1 1 1], L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0; +LS_0x5574d65116a0_0_4 .concat [ 1 1 1 1], L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0; +LS_0x5574d65116a0_0_8 .concat [ 1 1 1 1], L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0; +LS_0x5574d65116a0_0_12 .concat [ 1 1 1 1], L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0; +LS_0x5574d65116a0_0_16 .concat [ 1 1 1 1], L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0, L_0x5574d65114f0; +LS_0x5574d65116a0_1_0 .concat [ 4 4 4 4], LS_0x5574d65116a0_0_0, LS_0x5574d65116a0_0_4, LS_0x5574d65116a0_0_8, LS_0x5574d65116a0_0_12; +LS_0x5574d65116a0_1_4 .concat [ 4 0 0 0], LS_0x5574d65116a0_0_16; +L_0x5574d65116a0 .concat [ 16 4 0 0], LS_0x5574d65116a0_1_0, LS_0x5574d65116a0_1_4; +L_0x5574d65118d0 .part L_0x5574d650ed30, 20, 12; +L_0x5574d6511a90 .concat [ 12 20 0 0], L_0x5574d65118d0, L_0x5574d65116a0; +L_0x5574d6511bb0 .part L_0x5574d650ed30, 31, 1; +LS_0x5574d6511970_0_0 .concat [ 1 1 1 1], L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0; +LS_0x5574d6511970_0_4 .concat [ 1 1 1 1], L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0; +LS_0x5574d6511970_0_8 .concat [ 1 1 1 1], L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0; +LS_0x5574d6511970_0_12 .concat [ 1 1 1 1], L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0; +LS_0x5574d6511970_0_16 .concat [ 1 1 1 1], L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0, L_0x5574d6511bb0; +LS_0x5574d6511970_1_0 .concat [ 4 4 4 4], LS_0x5574d6511970_0_0, LS_0x5574d6511970_0_4, LS_0x5574d6511970_0_8, LS_0x5574d6511970_0_12; +LS_0x5574d6511970_1_4 .concat [ 4 0 0 0], LS_0x5574d6511970_0_16; +L_0x5574d6511970 .concat [ 16 4 0 0], LS_0x5574d6511970_1_0, LS_0x5574d6511970_1_4; +L_0x5574d6512040 .part L_0x5574d650ed30, 25, 7; +L_0x5574d6512220 .part L_0x5574d650ed30, 7, 5; +L_0x5574d65122c0 .concat [ 5 7 20 0], L_0x5574d6512220, L_0x5574d6512040, L_0x5574d6511970; +L_0x5574d65125b0 .part L_0x5574d650ed30, 31, 1; +LS_0x5574d6512650_0_0 .concat [ 1 1 1 1], L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0; +LS_0x5574d6512650_0_4 .concat [ 1 1 1 1], L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0; +LS_0x5574d6512650_0_8 .concat [ 1 1 1 1], L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0; +LS_0x5574d6512650_0_12 .concat [ 1 1 1 1], L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0; +LS_0x5574d6512650_0_16 .concat [ 1 1 1 0], L_0x5574d65125b0, L_0x5574d65125b0, L_0x5574d65125b0; +LS_0x5574d6512650_1_0 .concat [ 4 4 4 4], LS_0x5574d6512650_0_0, LS_0x5574d6512650_0_4, LS_0x5574d6512650_0_8, LS_0x5574d6512650_0_12; +LS_0x5574d6512650_1_4 .concat [ 3 0 0 0], LS_0x5574d6512650_0_16; +L_0x5574d6512650 .concat [ 16 3 0 0], LS_0x5574d6512650_1_0, LS_0x5574d6512650_1_4; +L_0x5574d6512b60 .part L_0x5574d650ed30, 31, 1; +L_0x5574d6512c00 .part L_0x5574d650ed30, 7, 1; +L_0x5574d6513020 .part L_0x5574d650ed30, 25, 6; +L_0x5574d65130f0 .part L_0x5574d650ed30, 8, 4; +LS_0x5574d6513370_0_0 .concat [ 1 4 6 1], L_0x7f2b9dca15b8, L_0x5574d65130f0, L_0x5574d6513020, L_0x5574d6512c00; +LS_0x5574d6513370_0_4 .concat [ 1 19 0 0], L_0x5574d6512b60, L_0x5574d6512650; +L_0x5574d6513370 .concat [ 12 20 0 0], LS_0x5574d6513370_0_0, LS_0x5574d6513370_0_4; +L_0x5574d65135d0 .part L_0x5574d650ed30, 12, 20; +L_0x5574d6513800 .concat [ 12 20 0 0], L_0x7f2b9dca1600, L_0x5574d65135d0; +L_0x5574d6513940 .part L_0x5574d650ed30, 31, 1; +LS_0x5574d6513b80_0_0 .concat [ 1 1 1 1], L_0x5574d6513940, L_0x5574d6513940, L_0x5574d6513940, L_0x5574d6513940; +LS_0x5574d6513b80_0_4 .concat [ 1 1 1 1], L_0x5574d6513940, L_0x5574d6513940, L_0x5574d6513940, L_0x5574d6513940; +LS_0x5574d6513b80_0_8 .concat [ 1 1 1 0], L_0x5574d6513940, L_0x5574d6513940, L_0x5574d6513940; +L_0x5574d6513b80 .concat [ 4 4 3 0], LS_0x5574d6513b80_0_0, LS_0x5574d6513b80_0_4, LS_0x5574d6513b80_0_8; +L_0x5574d6513ce0 .part L_0x5574d650ed30, 31, 1; +L_0x5574d6513f30 .part L_0x5574d650ed30, 12, 8; +L_0x5574d6513fd0 .part L_0x5574d650ed30, 20, 1; +L_0x5574d6514230 .part L_0x5574d650ed30, 21, 10; +LS_0x5574d6514300_0_0 .concat [ 1 10 1 8], L_0x7f2b9dca1648, L_0x5574d6514230, L_0x5574d6513fd0, L_0x5574d6513f30; +LS_0x5574d6514300_0_4 .concat [ 1 11 0 0], L_0x5574d6513ce0, L_0x5574d6513b80; +L_0x5574d6514300 .concat [ 20 12 0 0], LS_0x5574d6514300_0_0, LS_0x5574d6514300_0_4; +L_0x5574d6514730 .concat [ 5 27 0 0], L_0x5574d650f7a0, L_0x7f2b9dca1690; +L_0x5574d6514870 .cmp/ne 32, L_0x5574d6514730, L_0x7f2b9dca16d8; +L_0x5574d6514b90 .array/port v0x5574d64fd3f0, L_0x5574d6514c30; +L_0x5574d6514c30 .concat [ 5 2 0 0], L_0x5574d650f7a0, L_0x7f2b9dca1720; +L_0x5574d6514f60 .functor MUXZ 32, L_0x7f2b9dca1768, L_0x5574d6514b90, L_0x5574d6514870, C4<>; +L_0x5574d65150f0 .concat [ 5 27 0 0], L_0x5574d650f8c0, L_0x7f2b9dca17b0; +L_0x5574d6515430 .cmp/ne 32, L_0x5574d65150f0, L_0x7f2b9dca17f8; +L_0x5574d6515570 .array/port v0x5574d64fd3f0, L_0x5574d6515820; +L_0x5574d6515820 .concat [ 5 2 0 0], L_0x5574d650f8c0, L_0x7f2b9dca1840; +L_0x5574d6515960 .functor MUXZ 32, L_0x7f2b9dca1888, L_0x5574d6515570, L_0x5574d6515430, C4<>; +L_0x5574d6515d10 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca18d0; +L_0x5574d6515e00 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1918; +L_0x5574d65162d0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1960; +L_0x5574d65165d0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca19a8; +L_0x5574d6516a10 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca19f0; +L_0x5574d6516cc0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1a38; +L_0x5574d6517070 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1a80; +L_0x5574d6517290 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1ac8; +L_0x5574d6517650 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1b10; +L_0x5574d6517880 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1b58; +L_0x5574d6517c30 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1ba0; +L_0x5574d6517e70 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1be8; +L_0x5574d6518320 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1c30; +L_0x5574d6518570 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1c78; +L_0x5574d6518920 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1cc0; +L_0x5574d6518b50 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1d08; +L_0x5574d65184d0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1d50; +L_0x5574d6519140 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1d98; +L_0x5574d65196e0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1de0; +L_0x5574d6519aa0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1e28; +L_0x5574d6519e80 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1e70; +L_0x5574d651a180 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1eb8; +L_0x5574d651a650 .part L_0x5574d650fb60, 5, 1; +L_0x5574d651aa20 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca1f48; +L_0x5574d651afa0 .part L_0x5574d650fb60, 5, 1; +L_0x5574d651b340 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca1fd8; +L_0x5574d651b720 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2020; +L_0x5574d651b920 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca2068; +L_0x5574d651bf30 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca20b0; +L_0x5574d651c0e0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca20f8; +L_0x5574d651c6f0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2140; +L_0x5574d651c810 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2188; +L_0x5574d651cd60 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca21d0; +L_0x5574d651c5d0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2218; +L_0x5574d651d3c0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2260; +L_0x5574d651d5c0 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca22a8; +L_0x5574d651dc40 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca22f0; +L_0x5574d651dd30 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2338; +L_0x5574d651e2b0 .part L_0x5574d650fb60, 1, 6; +L_0x5574d651e350 .cmp/eq 6, L_0x5574d651e2b0, L_0x7f2b9dca2380; +L_0x5574d651ea80 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca23c8; +L_0x5574d651eb70 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2410; +L_0x5574d651f0e0 .part L_0x5574d650fb60, 1, 6; +L_0x5574d651f180 .cmp/eq 6, L_0x5574d651f0e0, L_0x7f2b9dca2458; +L_0x5574d651f8e0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca24a0; +L_0x5574d651f9d0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca24e8; +L_0x5574d651ff60 .part L_0x5574d650fb60, 1, 6; +L_0x5574d6520000 .cmp/eq 6, L_0x5574d651ff60, L_0x7f2b9dca2530; +L_0x5574d6520790 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2578; +L_0x5574d6520880 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca25c0; +L_0x5574d6520e30 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2608; +L_0x5574d6520f20 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2650; +L_0x5574d6521690 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2698; +L_0x5574d6521780 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca26e0; +L_0x5574d6521d80 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2728; +L_0x5574d6521e70 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2770; +L_0x5574d6522610 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca27b8; +L_0x5574d6522810 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2800; +L_0x5574d6522cf0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2848; +L_0x5574d65234d0 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca2890; +L_0x5574d6523ad0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca28d8; +L_0x5574d6523bc0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2920; +L_0x5574d65243b0 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca2968; +L_0x5574d65245b0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca29b0; +L_0x5574d6524ac0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca29f8; +L_0x5574d6524eb0 .cmp/eq 7, L_0x5574d650fb60, L_0x7f2b9dca2a40; +L_0x5574d65247b0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2a88; +L_0x5574d65248a0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2ad0; +L_0x5574d65249c0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2b18; +L_0x5574d6525430 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2b60; +L_0x5574d6524fa0 .cmp/eq 3, L_0x5574d650fa90, L_0x7f2b9dca2ba8; +L_0x5574d6525970 .functor MUXZ 32, L_0x7f2b9dca2bf0, L_0x5574d652c420, L_0x5574d6525800, C4<>; +L_0x5574d65255c0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2c38; +L_0x5574d65256e0 .cmp/eq 7, L_0x5574d650f700, L_0x7f2b9dca2c80; +L_0x5574d6525e80 .cmp/gt 32, L_0x5574d6515960, L_0x5574d6514f60; +L_0x5574d6525fc0 .concat [ 1 31 0 0], L_0x5574d6525e80, L_0x7f2b9dca2cc8; +L_0x5574d6525a10 .part L_0x5574d6514f60, 31, 1; +L_0x5574d6525ab0 .part L_0x5574d6515960, 31, 1; +L_0x5574d6525b50 .reduce/nor L_0x5574d6525ab0; +L_0x5574d6525d50 .part L_0x5574d6514f60, 31, 1; +L_0x5574d65265a0 .reduce/nor L_0x5574d6525d50; +L_0x5574d6526640 .part L_0x5574d6515960, 31, 1; +L_0x5574d65261c0 .cmp/gt 32, L_0x5574d6515960, L_0x5574d6514f60; +L_0x5574d6526260 .concat [ 1 31 0 0], L_0x5574d65261c0, L_0x7f2b9dca2da0; +L_0x5574d65263a0 .functor MUXZ 32, L_0x5574d6526260, L_0x7f2b9dca2d58, L_0x5574d6526100, C4<>; +L_0x5574d6526ba0 .functor MUXZ 32, L_0x5574d65263a0, L_0x7f2b9dca2d10, L_0x5574d6525c40, C4<>; +L_0x5574d6526780 .concat [ 32 31 0 0], L_0x5574d6526ba0, L_0x7f2b9dca2de8; +L_0x5574d65268c0 .part L_0x5574d6526780, 0, 32; +L_0x5574d65269b0 .part L_0x5574d6514f60, 31, 1; +L_0x5574d6526a50 .part L_0x5574d6511a90, 31, 1; +L_0x5574d65273e0 .part L_0x5574d6514f60, 31, 1; +L_0x5574d6527480 .concat [ 1 31 0 0], L_0x5574d65273e0, L_0x7f2b9dca2e30; +L_0x5574d6526c40 .functor MUXZ 32, L_0x5574d6527480, L_0x5574d6525fc0, L_0x5574d6527130, C4<>; +L_0x5574d6526dd0 .part L_0x5574d6514f60, 31, 1; +LS_0x5574d6526e70_0_0 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_0_4 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_0_8 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_0_12 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_0_16 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_0_20 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_0_24 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_0_28 .concat [ 1 1 1 1], L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0, L_0x5574d6526dd0; +LS_0x5574d6526e70_1_0 .concat [ 4 4 4 4], LS_0x5574d6526e70_0_0, LS_0x5574d6526e70_0_4, LS_0x5574d6526e70_0_8, LS_0x5574d6526e70_0_12; +LS_0x5574d6526e70_1_4 .concat [ 4 4 4 4], LS_0x5574d6526e70_0_16, LS_0x5574d6526e70_0_20, LS_0x5574d6526e70_0_24, LS_0x5574d6526e70_0_28; +L_0x5574d6526e70 .concat [ 16 16 0 0], LS_0x5574d6526e70_1_0, LS_0x5574d6526e70_1_4; +L_0x5574d6526f60 .cmp/gt 32, L_0x5574d6515960, L_0x5574d6514f60; +L_0x5574d6527000 .concat [ 1 32 0 0], L_0x5574d6526f60, L_0x5574d6526e70; +L_0x5574d65275c0 .concat [ 33 31 0 0], L_0x5574d6527000, L_0x7f2b9dca2e78; +L_0x5574d6527700 .part L_0x5574d6515960, 0, 5; +L_0x5574d65277a0 .shift/r 64, L_0x5574d65275c0, L_0x5574d6527700; +L_0x5574d65278e0 .concat [ 64 0 0 0], L_0x5574d65277a0; +L_0x5574d65279d0 .part L_0x5574d6511a90, 0, 5; +L_0x5574d6528430 .shift/r 64, L_0x5574d65275c0, L_0x5574d65279d0; +L_0x5574d65284d0 .concat [ 64 0 0 0], L_0x5574d6528430; +L_0x5574d6527ee0 .cmp/gt 32, L_0x5574d6511a90, L_0x5574d6514f60; +L_0x5574d6527f80 .concat [ 1 31 0 0], L_0x5574d6527ee0, L_0x7f2b9dca2ec0; +L_0x5574d6528070 .arith/sum 32, L_0x5574d6514f60, L_0x5574d6511a90; +L_0x5574d6528180 .arith/sum 32, L_0x5574d6514f60, L_0x5574d6511a90; +L_0x5574d6528220 .arith/sum 32, L_0x5574d6514f60, L_0x5574d6515960; +L_0x5574d6528d40 .part L_0x5574d6511a90, 0, 5; +L_0x5574d65285c0 .shift/l 32, L_0x5574d6514f60, L_0x5574d6528d40; +L_0x5574d65286e0 .part L_0x5574d6511a90, 0, 5; +L_0x5574d65287b0 .shift/r 32, L_0x5574d6514f60, L_0x5574d65286e0; +L_0x5574d6528a80 .arith/sub 32, L_0x5574d6514f60, L_0x5574d6515960; +L_0x5574d6528de0 .part L_0x5574d6515960, 0, 5; +L_0x5574d6528eb0 .shift/l 32, L_0x5574d6514f60, L_0x5574d6528de0; +L_0x5574d6528fd0 .part L_0x5574d6515960, 0, 5; +L_0x5574d6529070 .shift/r 32, L_0x5574d6514f60, L_0x5574d6528fd0; +L_0x5574d6529190 .part L_0x5574d6511a90, 12, 20; +L_0x5574d6529260 .concat [ 12 20 0 0], L_0x7f2b9dca2f08, L_0x5574d6529190; +L_0x5574d6529640 .arith/sum 32, v0x5574d64fd230_0, L_0x5574d6511a90; +L_0x5574d6529730 .arith/sum 32, v0x5574d64fd230_0, L_0x7f2b9dca2f50; +L_0x5574d6529890 .part L_0x5574d65278e0, 0, 32; +L_0x5574d6529980 .part L_0x5574d65284d0, 0, 32; +L_0x5574d6529a70 .functor MUXZ 32, L_0x7f2b9dca2f98, L_0x5574d6529980, L_0x5574d6520510, C4<>; +L_0x5574d652a2b0 .functor MUXZ 32, L_0x5574d6529a70, L_0x5574d6529890, L_0x5574d651d9f0, C4<>; +L_0x5574d6529d50 .functor MUXZ 32, L_0x5574d652a2b0, L_0x5574d6529730, L_0x5574d65295a0, C4<>; +L_0x5574d6529ee0 .functor MUXZ 32, L_0x5574d6529d50, L_0x5574d6529640, L_0x5574d65248a0, C4<>; +L_0x5574d652a070 .functor MUXZ 32, L_0x5574d6529ee0, L_0x5574d6529260, L_0x5574d65247b0, C4<>; +L_0x5574d652a970 .functor MUXZ 32, L_0x5574d652a070, L_0x5574d6527f80, L_0x5574d651c510, C4<>; +L_0x5574d652a3f0 .functor MUXZ 32, L_0x5574d652a970, L_0x5574d6529070, L_0x5574d651ce50, C4<>; +L_0x5574d652a580 .functor MUXZ 32, L_0x5574d652a3f0, L_0x5574d6528eb0, L_0x5574d651bd10, C4<>; +L_0x5574d652a710 .functor MUXZ 32, L_0x5574d652a580, L_0x5574d6528a80, L_0x5574d65246a0, C4<>; +L_0x5574d652a8a0 .functor MUXZ 32, L_0x5574d652a710, L_0x5574d65289e0, L_0x5574d65244a0, C4<>; +L_0x5574d652b150 .functor MUXZ 32, L_0x5574d652a8a0, L_0x5574d6528940, L_0x5574d65239c0, C4<>; +L_0x5574d652b2e0 .functor MUXZ 32, L_0x5574d652b150, L_0x5574d65288d0, L_0x5574d6522700, C4<>; +L_0x5574d652aab0 .functor MUXZ 32, L_0x5574d652b2e0, L_0x5574d65287b0, L_0x5574d651f670, C4<>; +L_0x5574d652ac40 .functor MUXZ 32, L_0x5574d652aab0, L_0x5574d65285c0, L_0x5574d651e820, C4<>; +L_0x5574d652add0 .functor MUXZ 32, L_0x5574d652ac40, L_0x5574d6528390, L_0x5574d6521c70, C4<>; +L_0x5574d652af60 .functor MUXZ 32, L_0x5574d652add0, L_0x5574d65282f0, L_0x5574d6521400, C4<>; +L_0x5574d652baa0 .functor MUXZ 32, L_0x5574d652af60, L_0x5574d6528110, L_0x5574d6520d20, C4<>; +L_0x5574d652bc30 .functor MUXZ 32, L_0x5574d652baa0, L_0x5574d6526c40, L_0x5574d651c020, C4<>; +L_0x5574d652b470 .functor MUXZ 32, L_0x5574d652bc30, L_0x5574d6525fc0, L_0x5574d651b130, C4<>; +L_0x5574d652b5b0 .functor MUXZ 32, L_0x5574d652b470, L_0x5574d65268c0, L_0x5574d651a910, C4<>; +L_0x5574d652b740 .functor MUXZ 32, L_0x5574d652b5b0, L_0x5574d6528220, L_0x5574d6516b50, C4<>; +L_0x5574d652b8d0 .functor MUXZ 32, L_0x5574d652b740, L_0x5574d6528180, L_0x5574d6516170, C4<>; +L_0x5574d652c420 .functor MUXZ 32, L_0x5574d652b8d0, L_0x5574d6528070, L_0x5574d6525800, C4<>; +L_0x5574d652c850 .cmp/eq 32, L_0x5574d6514f60, L_0x5574d6515960; +L_0x5574d652bcd0 .cmp/ne 32, L_0x5574d6514f60, L_0x5574d6515960; +L_0x5574d652bd70 .cmp/gt.s 32, L_0x5574d652c7e0, L_0x5574d65297d0; +L_0x5574d652beb0 .cmp/ge.s 32, L_0x5574d65297d0, L_0x5574d652c7e0; +L_0x5574d652bf50 .cmp/gt 32, L_0x5574d6515960, L_0x5574d6514f60; +L_0x5574d652bff0 .cmp/ge 32, L_0x5574d6514f60, L_0x5574d6515960; +L_0x5574d652c090 .functor MUXZ 1, L_0x7f2b9dca2fe0, L_0x5574d652bff0, L_0x5574d6517d20, C4<>; +L_0x5574d652c220 .functor MUXZ 1, L_0x5574d652c090, L_0x5574d652bf50, L_0x5574d6517220, C4<>; +L_0x5574d652d030 .functor MUXZ 1, L_0x5574d652c220, L_0x5574d652beb0, L_0x5574d6517740, C4<>; +L_0x5574d652c9e0 .functor MUXZ 1, L_0x5574d652d030, L_0x5574d652bd70, L_0x5574d65175e0, C4<>; +L_0x5574d652cb70 .functor MUXZ 1, L_0x5574d652c9e0, L_0x5574d652bcd0, L_0x5574d6517160, C4<>; +L_0x5574d652cd00 .functor MUXZ 1, L_0x5574d652cb70, L_0x5574d652c850, L_0x5574d6516fb0, C4<>; +L_0x5574d652ce90 .arith/sum 32, v0x5574d64fd230_0, L_0x5574d6513370; +L_0x5574d652d0d0 .arith/sum 32, v0x5574d64fd230_0, L_0x7f2b9dca3028; +L_0x5574d652d1c0 .arith/sum 32, L_0x5574d6514f60, L_0x5574d6511a90; +L_0x5574d652d260 .functor MUXZ 32, L_0x5574d652d0d0, L_0x5574d652d1c0, L_0x5574d65250c0, C4<>; +L_0x5574d652d3a0 .functor MUXZ 32, L_0x5574d652d260, L_0x5574d652ce90, L_0x5574d65249c0, C4<>; +L_0x5574d652d4e0 .functor MUXZ 32, L_0x5574d652d3a0, L_0x5574d652ce90, L_0x5574d652cd00, C4<>; +L_0x5574d652d670 .concat [ 5 27 0 0], L_0x5574d650f960, L_0x7f2b9dca3070; +L_0x5574d652df80 .cmp/ne 32, L_0x5574d652d670, L_0x7f2b9dca30b8; +L_0x5574d6531fe0 .functor MUXZ 32, L_0x5574d652c420, v0x5574d64fcdd0_0, L_0x5574d65255c0, C4<>; + .scope S_0x5574d64e56f0; +T_0 ; + %vpi_call 3 12 "$readmemh", "program.hex", v0x5574d64fa710 {0 0 0}; + %end; + .thread T_0; + .scope S_0x5574d64e56f0; +T_1 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x5574d64fcb30_0, 0, 32; +T_1.0 ; + %load/vec4 v0x5574d64fcb30_0; + %cmpi/s 32, 0, 32; + %jmp/0xz T_1.1, 5; + %pushi/vec4 0, 0, 32; + %ix/getv/s 4, v0x5574d64fcb30_0; + %store/vec4a v0x5574d64fa3b0, 4, 0; + %load/vec4 v0x5574d64fcb30_0; + %addi 1, 0, 32; + %store/vec4 v0x5574d64fcb30_0, 0, 32; + %jmp T_1.0; +T_1.1 ; + %end; + .thread T_1; + .scope S_0x5574d64e56f0; +T_2 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x5574d64fa630_0, 0, 32; +T_2.0 ; + %load/vec4 v0x5574d64fa630_0; + %cmpi/s 32, 0, 32; + %jmp/0xz T_2.1, 5; + %pushi/vec4 0, 0, 32; + %ix/getv/s 4, v0x5574d64fa630_0; + %store/vec4a v0x5574d64fd3f0, 4, 0; + %load/vec4 v0x5574d64fa630_0; + %addi 1, 0, 32; + %store/vec4 v0x5574d64fa630_0, 0, 32; + %jmp T_2.0; +T_2.1 ; + %end; + .thread T_2; + .scope S_0x5574d64e56f0; +T_3 ; + %wait E_0x5574d64d0c70; + %load/vec4 v0x5574d64fceb0_0; + %parti/s 2, 0, 2; + %cmpi/e 0, 0, 2; + %jmp/0xz T_3.0, 4; + %load/vec4 v0x5574d64fa470_0; + %dup/vec4; + %pushi/vec4 0, 0, 3; + %cmp/u; + %jmp/1 T_3.2, 6; + %dup/vec4; + %pushi/vec4 1, 0, 3; + %cmp/u; + %jmp/1 T_3.3, 6; + %dup/vec4; + %pushi/vec4 2, 0, 3; + %cmp/u; + %jmp/1 T_3.4, 6; + %dup/vec4; + %pushi/vec4 4, 0, 3; + %cmp/u; + %jmp/1 T_3.5, 6; + %dup/vec4; + %pushi/vec4 5, 0, 3; + %cmp/u; + %jmp/1 T_3.6, 6; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x5574d64fcdd0_0, 0, 32; + %jmp T_3.8; +T_3.2 ; + %load/vec4 v0x5574d64fccf0_0; + %parti/s 1, 7, 4; + %replicate 24; + %load/vec4 v0x5574d64fccf0_0; + %parti/s 8, 0, 2; + %concat/vec4; draw_concat_vec4 + %store/vec4 v0x5574d64fcdd0_0, 0, 32; + %jmp T_3.8; +T_3.3 ; + %load/vec4 v0x5574d64fccf0_0; + %parti/s 1, 15, 5; + %replicate 16; + %load/vec4 v0x5574d64fccf0_0; + %parti/s 16, 0, 2; + %concat/vec4; draw_concat_vec4 + %store/vec4 v0x5574d64fcdd0_0, 0, 32; + %jmp T_3.8; +T_3.4 ; + %load/vec4 v0x5574d64fccf0_0; + %store/vec4 v0x5574d64fcdd0_0, 0, 32; + %jmp T_3.8; +T_3.5 ; + %pushi/vec4 0, 0, 24; + %load/vec4 v0x5574d64fccf0_0; + %parti/s 8, 0, 2; + %concat/vec4; draw_concat_vec4 + %store/vec4 v0x5574d64fcdd0_0, 0, 32; + %jmp T_3.8; +T_3.6 ; + %pushi/vec4 0, 0, 16; + %load/vec4 v0x5574d64fccf0_0; + %parti/s 16, 0, 2; + %concat/vec4; draw_concat_vec4 + %store/vec4 v0x5574d64fcdd0_0, 0, 32; + %jmp T_3.8; +T_3.8 ; + %pop/vec4 1; + %jmp T_3.1; +T_3.0 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x5574d64fcdd0_0, 0, 32; + %load/vec4 v0x5574d64fc8f0_0; + %flag_set/vec4 8; + %jmp/0xz T_3.9, 8; + %vpi_call 3 150 "$display", "ERROR: Misaligned memory address at addr %h", v0x5574d64fceb0_0 {0 0 0}; +T_3.9 ; +T_3.1 ; + %jmp T_3; + .thread T_3, $push; + .scope S_0x5574d64e56f0; +T_4 ; + %wait E_0x5574d6404aa0; + %load/vec4 v0x5574d64fd8f0_0; + %nor/r; + %flag_set/vec4 11; + %flag_get/vec4 11; + %jmp/0 T_4.4, 11; + %load/vec4 v0x5574d64fca70_0; + %and; +T_4.4; + %flag_set/vec4 10; + %flag_get/vec4 10; + %jmp/0 T_4.3, 10; + %load/vec4 v0x5574d64fe190_0; + %pad/u 32; + %cmpi/u 32, 0, 32; + %flag_get/vec4 5; + %and; +T_4.3; + %flag_set/vec4 9; + %flag_get/vec4 9; + %jmp/0 T_4.2, 9; + %load/vec4 v0x5574d64fceb0_0; + %parti/s 2, 0, 2; + %pushi/vec4 0, 0, 2; + %cmp/e; + %flag_get/vec4 4; + %and; +T_4.2; + %flag_set/vec4 8; + %jmp/0xz T_4.0, 8; + %load/vec4 v0x5574d64fa470_0; + %dup/vec4; + %pushi/vec4 0, 0, 3; + %cmp/u; + %jmp/1 T_4.5, 6; + %dup/vec4; + %pushi/vec4 1, 0, 3; + %cmp/u; + %jmp/1 T_4.6, 6; + %dup/vec4; + %pushi/vec4 2, 0, 3; + %cmp/u; + %jmp/1 T_4.7, 6; + %jmp T_4.8; +T_4.5 ; + %load/vec4 v0x5574d64fd810_0; + %parti/s 8, 0, 2; + %load/vec4 v0x5574d64fe190_0; + %pad/u 7; + %ix/vec4 4; + %flag_mov 8, 4; + %ix/load 5, 0, 0; + %flag_set/imm 4, 0; + %flag_or 4, 8; + %store/vec4a v0x5574d64fa3b0, 4, 5; + %jmp T_4.8; +T_4.6 ; + %load/vec4 v0x5574d64fd810_0; + %parti/s 16, 0, 2; + %load/vec4 v0x5574d64fe190_0; + %pad/u 7; + %ix/vec4 4; + %flag_mov 8, 4; + %ix/load 5, 0, 0; + %flag_set/imm 4, 0; + %flag_or 4, 8; + %store/vec4a v0x5574d64fa3b0, 4, 5; + %jmp T_4.8; +T_4.7 ; + %load/vec4 v0x5574d64fd810_0; + %load/vec4 v0x5574d64fe190_0; + %pad/u 7; + %ix/vec4 4; + %store/vec4a v0x5574d64fa3b0, 4, 0; + %jmp T_4.8; +T_4.8 ; + %pop/vec4 1; + %vpi_call 3 169 "$display", "MEM Write: word_addr=%h, data=%h", v0x5574d64fe190_0, v0x5574d64fd810_0 {0 0 0}; +T_4.0 ; + %jmp T_4; + .thread T_4, $push; + .scope S_0x5574d64e56f0; +T_5 ; + %wait E_0x5574d644e830; + %load/vec4 v0x5574d64fd8f0_0; + %flag_set/vec4 8; + %jmp/0xz T_5.0, 8; + %pushi/vec4 0, 0, 32; + %assign/vec4 v0x5574d64fd230_0, 0; + %jmp T_5.1; +T_5.0 ; + %load/vec4 v0x5574d64fcf90_0; + %assign/vec4 v0x5574d64fd230_0, 0; +T_5.1 ; + %jmp T_5; + .thread T_5; + .scope S_0x5574d64e56f0; +T_6 ; + %wait E_0x5574d644e830; + %load/vec4 v0x5574d64fd4b0_0; + %flag_set/vec4 9; + %flag_get/vec4 9; + %jmp/0 T_6.2, 9; + %load/vec4 v0x5574d64fd8f0_0; + %nor/r; + %and; +T_6.2; + %flag_set/vec4 8; + %jmp/0xz T_6.0, 8; + %load/vec4 v0x5574d64fe270_0; + %load/vec4 v0x5574d64fd310_0; + %pad/u 7; + %ix/vec4 3; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0x5574d64fd3f0, 0, 4; + %vpi_call 3 264 "$display", "RF Write: x%d = %h", v0x5574d64fd310_0, v0x5574d64fe270_0 {0 0 0}; +T_6.0 ; + %jmp T_6; + .thread T_6; + .scope S_0x5574d64e56f0; +T_7 ; + %wait E_0x5574d644e830; + %load/vec4 v0x5574d64fd8f0_0; + %nor/r; + %flag_set/vec4 8; + %jmp/0xz T_7.0, 8; + %vpi_call 3 271 "$display", "PC=%08h, Instr=%08h, rs1=x%d(%h), rs2=x%d(%h), rd=x%d, branch_taken=%b", v0x5574d64fd230_0, v0x5574d64fa7d0_0, v0x5574d64fd570_0, v0x5574d64fd650_0, v0x5574d64fd730_0, v0x5574d64fd810_0, v0x5574d64fd310_0, v0x5574d64fa150_0 {0 0 0}; + %load/vec4 v0x5574d64fd230_0; + %parti/s 2, 0, 2; + %cmpi/ne 0, 0, 2; + %jmp/0xz T_7.2, 4; + %vpi_call 3 274 "$display", "WARNING: PC not word-aligned: %h", v0x5574d64fd230_0 {0 0 0}; +T_7.2 ; +T_7.0 ; + %jmp T_7; + .thread T_7; + .scope S_0x5574d64e56f0; +T_8 ; + %wait E_0x5574d644e830; + %load/vec4 v0x5574d64fd8f0_0; + %nor/r; + %flag_set/vec4 9; + %flag_get/vec4 9; + %jmp/0 T_8.2, 9; + %load/vec4 v0x5574d64fd150_0; + %pushi/vec4 3, 0, 7; + %cmp/e; + %flag_get/vec4 4; + %and; +T_8.2; + %flag_set/vec4 8; + %jmp/0xz T_8.0, 8; + %vpi_call 3 281 "$display", "LOAD: byte_addr=%h, word_addr=%h, data=%h, funct3=%b", v0x5574d64fceb0_0, v0x5574d64fe190_0, v0x5574d64fcdd0_0, v0x5574d64fa470_0 {0 0 0}; +T_8.0 ; + %jmp T_8; + .thread T_8; + .scope S_0x5574d64b4dc0; +T_9 ; + %delay 5000, 0; + %load/vec4 v0x5574d64fe3f0_0; + %inv; + %store/vec4 v0x5574d64fe3f0_0, 0, 1; + %jmp T_9; + .thread T_9; + .scope S_0x5574d64b4dc0; +T_10 ; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x5574d64fe3f0_0, 0, 1; + %pushi/vec4 1, 0, 1; + %store/vec4 v0x5574d64fe690_0, 0, 1; + %delay 10000, 0; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x5574d64fe690_0, 0, 1; + %delay 500000, 0; + %vpi_call 2 34 "$display", "\012=== FINAL REGISTER STATE ===" {0 0 0}; + %vpi_call 2 35 "$display", "x1 (ra) = %h", &A {0 0 0}; + %vpi_call 2 36 "$display", "x2 (sp) = %h", &A {0 0 0}; + %vpi_call 2 37 "$display", "x3 (gp) = %h", &A {0 0 0}; + %vpi_call 2 38 "$display", "x4 (tp) = %h", &A {0 0 0}; + %vpi_call 2 39 "$display", "x5 (t0) = %h", &A {0 0 0}; + %vpi_call 2 40 "$display", "x6 (t1) = %h", &A {0 0 0}; + %vpi_call 2 41 "$display", "x7 (t2) = %h", &A {0 0 0}; + %vpi_call 2 42 "$display", "x8 (s0) = %h", &A {0 0 0}; + %vpi_call 2 43 "$display", "x10 (a0) = %h", &A {0 0 0}; + %vpi_call 2 46 "$display", "\012=== MEMORY STATE ===" {0 0 0}; + %fork t_1, S_0x5574d64b4a40; + %jmp t_0; + .scope S_0x5574d64b4a40; +t_1 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x5574d64c8720_0, 0, 32; +T_10.0 ; + %load/vec4 v0x5574d64c8720_0; + %cmpi/s 10, 0, 32; + %jmp/0xz T_10.1, 5; + %vpi_call 2 50 "$display", "mem[%0d] = %h", v0x5574d64c8720_0, &A {0 0 0}; + %load/vec4 v0x5574d64c8720_0; + %addi 1, 0, 32; + %store/vec4 v0x5574d64c8720_0, 0, 32; + %jmp T_10.0; +T_10.1 ; + %end; + .scope S_0x5574d64b4dc0; +t_0 %join; + %vpi_call 2 54 "$finish" {0 0 0}; + %end; + .thread T_10; + .scope S_0x5574d64b4dc0; +T_11 ; + %vpi_call 2 59 "$monitor", "Time=%0t: PC=%h, Instr=%h", $time, v0x5574d64fd230_0, v0x5574d64fa7d0_0 {0 0 0}; + %end; + .thread T_11; +# The file index is used to find the file name in the following table. +:file_names 4; + "N/A"; + ""; + "RISCCore_tb.v"; + "RISCCore.v"; diff --git a/chapter5/riscv b/chapter5/riscv index 6c12b6f..92bb57e 100755 --- a/chapter5/riscv +++ b/chapter5/riscv @@ -7,500 +7,1427 @@ :vpi_module "/usr/lib64/ivl/vhdl_textio.vpi"; :vpi_module "/usr/lib64/ivl/v2005_math.vpi"; :vpi_module "/usr/lib64/ivl/va_math.vpi"; -S_0x56382aada760 .scope module, "RISCcore2TB" "RISCcore2TB" 2 1; +S_0x55a5df888fd0 .scope module, "RISCCore_tb" "RISCCore_tb" 2 1; .timescale 0 0; -v0x56382ab4b5e0_0 .var "clk", 0 0; -v0x56382ab4b6a0_0 .net "instr", 31 0, L_0x56382ab26210; 1 drivers -v0x56382ab4b740_0 .net "next_pc", 31 0, L_0x56382ab676d0; 1 drivers -v0x56382ab4b7e0_0 .net "pc", 31 0, v0x56382ab4ab20_0; 1 drivers -v0x56382ab4b880_0 .var "rst", 0 0; -S_0x56382ab19c80 .scope module, "uut" "RISCcore2" 2 9, 3 1 0, S_0x56382aada760; +v0x55a5df8ad800_0 .var "clk", 0 0; +v0x55a5df8ad8c0_0 .net "instr", 31 0, L_0x55a5df8ae130; 1 drivers +v0x55a5df8ad960_0 .net "next_pc", 31 0, L_0x55a5df8ef380; 1 drivers +v0x55a5df8ada00_0 .net "pc", 31 0, v0x55a5df8ba250_0; 1 drivers +v0x55a5df8adaa0_0 .var "rst", 0 0; +S_0x55a5df870580 .scope begin, "$ivl_for_loop0" "$ivl_for_loop0" 2 34, 2 34 0, S_0x55a5df888fd0; + .timescale 0 0; +v0x55a5df883560_0 .var/i "i", 31 0; +S_0x55a5df844860 .scope begin, "$ivl_for_loop1" "$ivl_for_loop1" 2 42, 2 42 0, S_0x55a5df888fd0; + .timescale 0 0; +v0x55a5df883ad0_0 .var/i "i", 31 0; +S_0x55a5df870200 .scope module, "uut" "RISCCore" 2 9, 3 1 0, S_0x55a5df888fd0; .timescale 0 0; .port_info 0 /INPUT 1 "rst"; .port_info 1 /INPUT 1 "clk"; .port_info 2 /OUTPUT 32 "pc"; .port_info 3 /OUTPUT 32 "next_pc"; .port_info 4 /OUTPUT 32 "instr"; -L_0x56382ab26210 .functor BUFZ 32, L_0x56382ab4b920, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; -L_0x56382ab219e0 .functor OR 1, L_0x56382ab4c0b0, L_0x56382ab4c1d0, C4<0>, C4<0>; -L_0x56382ab225e0 .functor OR 1, L_0x56382ab4c370, L_0x56382ab4c440, C4<0>, C4<0>; -L_0x56382ab4c7b0 .functor OR 1, L_0x56382ab225e0, L_0x56382ab4c6c0, C4<0>, C4<0>; -L_0x56382ab4c560 .functor OR 1, L_0x56382ab4c7b0, L_0x56382ab4c8f0, C4<0>, C4<0>; -L_0x56382ab4cbf0 .functor OR 1, L_0x56382ab4c560, L_0x56382ab4cb00, C4<0>, C4<0>; -L_0x56382ab4cf60 .functor OR 1, L_0x56382ab4cd40, L_0x56382ab4cec0, C4<0>, C4<0>; -L_0x56382ab4d2f0 .functor OR 1, L_0x56382ab4d070, L_0x56382ab4d200, C4<0>, C4<0>; -L_0x56382ab61ce0 .functor AND 1, L_0x56382ab618d0, L_0x56382ab619c0, C4<1>, C4<1>; -L_0x56382ab623d0 .functor AND 1, L_0x56382ab61df0, L_0x56382ab620f0, C4<1>, C4<1>; -L_0x56382ab62630 .functor AND 1, L_0x56382ab623d0, L_0x56382ab62540, C4<1>, C4<1>; -L_0x56382ab62ad0 .functor AND 1, L_0x56382ab62740, L_0x56382ab62a30, C4<1>, C4<1>; -L_0x56382ab63250 .functor AND 1, L_0x56382ab62c50, L_0x56382ab63160, C4<1>, C4<1>; -L_0x56382ab63760 .functor AND 1, L_0x56382ab63360, L_0x56382ab63670, C4<1>, C4<1>; -L_0x56382ab62be0 .functor AND 1, L_0x56382ab638f0, L_0x56382ab63c10, C4<1>, C4<1>; -L_0x56382ab641f0 .functor AND 1, L_0x56382ab63da0, L_0x56382ab640d0, C4<1>, C4<1>; -L_0x56382ab647f0 .functor AND 1, L_0x56382ab64390, L_0x56382ab646d0, C4<1>, C4<1>; -L_0x56382ab64cf0 .functor OR 1, L_0x56382ab61ce0, L_0x56382ab62630, C4<0>, C4<0>; -L_0x56382ab64ef0 .functor BUFZ 32, L_0x56382ab60c10, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; -L_0x56382ab65400 .functor BUFZ 32, L_0x56382ab61570, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; -L_0x56382ab67290 .functor OR 1, L_0x56382ab61ce0, L_0x56382ab62630, C4<0>, C4<0>; -L_0x56382ab67e20 .functor AND 1, L_0x56382ab67ce0, L_0x56382ab67290, C4<1>, C4<1>; -L_0x56382ab67fa0 .functor BUFZ 32, L_0x56382ab65000, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; -v0x56382ab263b0_0 .net "Bimm", 31 0, L_0x56382ab4efa0; 1 drivers -v0x56382ab21b00_0 .net "Iimm", 31 0, L_0x56382ab4db70; 1 drivers -v0x56382ab22700_0 .net "Jimm", 31 0, L_0x56382ab60070; 1 drivers -v0x56382ab42250_0 .net "Simm", 31 0, L_0x56382ab4e420; 1 drivers -v0x56382ab42330_0 .net "Uimm", 31 0, L_0x56382ab5f6e0; 1 drivers -v0x56382ab42460_0 .net *"_ivl_0", 31 0, L_0x56382ab4b920; 1 drivers -v0x56382ab42540_0 .net *"_ivl_103", 0 0, L_0x56382ab4e6c0; 1 drivers -v0x56382ab42620_0 .net *"_ivl_104", 18 0, L_0x56382ab4e760; 1 drivers -v0x56382ab42700_0 .net *"_ivl_107", 0 0, L_0x56382ab4ec20; 1 drivers -v0x56382ab427e0_0 .net *"_ivl_109", 0 0, L_0x56382ab4ecc0; 1 drivers -v0x56382ab428c0_0 .net *"_ivl_111", 5 0, L_0x56382ab4f090; 1 drivers -v0x56382ab429a0_0 .net *"_ivl_113", 3 0, L_0x56382ab4f160; 1 drivers -L_0x7f354a6833c0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -v0x56382ab42a80_0 .net/2u *"_ivl_114", 0 0, L_0x7f354a6833c0; 1 drivers -v0x56382ab42b60_0 .net *"_ivl_119", 19 0, L_0x56382ab4f4f0; 1 drivers -L_0x7f354a683408 .functor BUFT 1, C4<000000000000>, C4<0>, C4<0>, C4<0>; -v0x56382ab42c40_0 .net/2u *"_ivl_120", 11 0, L_0x7f354a683408; 1 drivers -v0x56382ab42d20_0 .net *"_ivl_125", 0 0, L_0x56382ab5f7d0; 1 drivers -v0x56382ab42e00_0 .net *"_ivl_126", 10 0, L_0x56382ab5f9c0; 1 drivers -v0x56382ab42ee0_0 .net *"_ivl_129", 0 0, L_0x56382ab5fb20; 1 drivers -v0x56382ab42fc0_0 .net *"_ivl_131", 7 0, L_0x56382ab5fd20; 1 drivers -v0x56382ab430a0_0 .net *"_ivl_133", 0 0, L_0x56382ab5fdc0; 1 drivers -v0x56382ab43180_0 .net *"_ivl_135", 9 0, L_0x56382ab5ffd0; 1 drivers -L_0x7f354a683450 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -v0x56382ab43260_0 .net/2u *"_ivl_136", 0 0, L_0x7f354a683450; 1 drivers -v0x56382ab43340_0 .net *"_ivl_140", 31 0, L_0x56382ab60450; 1 drivers -L_0x7f354a683498 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x56382ab43420_0 .net *"_ivl_143", 26 0, L_0x7f354a683498; 1 drivers -L_0x7f354a6834e0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x56382ab43500_0 .net/2u *"_ivl_144", 31 0, L_0x7f354a6834e0; 1 drivers -v0x56382ab435e0_0 .net *"_ivl_146", 0 0, L_0x56382ab605c0; 1 drivers -v0x56382ab436a0_0 .net *"_ivl_148", 31 0, L_0x56382ab60890; 1 drivers -v0x56382ab43780_0 .net *"_ivl_150", 6 0, L_0x56382ab60930; 1 drivers -L_0x7f354a683528 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; -v0x56382ab43860_0 .net *"_ivl_153", 1 0, L_0x7f354a683528; 1 drivers -L_0x7f354a683570 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x56382ab43940_0 .net/2u *"_ivl_154", 31 0, L_0x7f354a683570; 1 drivers -v0x56382ab43a20_0 .net *"_ivl_158", 31 0, L_0x56382ab60da0; 1 drivers -L_0x7f354a6835b8 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x56382ab43b00_0 .net *"_ivl_161", 26 0, L_0x7f354a6835b8; 1 drivers -L_0x7f354a683600 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x56382ab43be0_0 .net/2u *"_ivl_162", 31 0, L_0x7f354a683600; 1 drivers -v0x56382ab43ed0_0 .net *"_ivl_164", 0 0, L_0x56382ab61090; 1 drivers -v0x56382ab43f90_0 .net *"_ivl_166", 31 0, L_0x56382ab611d0; 1 drivers -v0x56382ab44070_0 .net *"_ivl_168", 6 0, L_0x56382ab61430; 1 drivers -L_0x7f354a683648 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; -v0x56382ab44150_0 .net *"_ivl_171", 1 0, L_0x7f354a683648; 1 drivers -L_0x7f354a683690 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x56382ab44230_0 .net/2u *"_ivl_172", 31 0, L_0x7f354a683690; 1 drivers -L_0x7f354a6836d8 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; -v0x56382ab44310_0 .net/2u *"_ivl_176", 6 0, L_0x7f354a6836d8; 1 drivers -v0x56382ab443f0_0 .net *"_ivl_178", 0 0, L_0x56382ab618d0; 1 drivers -L_0x7f354a683018 .functor BUFT 1, C4<0110111>, C4<0>, C4<0>, C4<0>; -v0x56382ab444b0_0 .net/2u *"_ivl_18", 6 0, L_0x7f354a683018; 1 drivers -L_0x7f354a683720 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; -v0x56382ab44590_0 .net/2u *"_ivl_180", 2 0, L_0x7f354a683720; 1 drivers -v0x56382ab44670_0 .net *"_ivl_182", 0 0, L_0x56382ab619c0; 1 drivers -L_0x7f354a683768 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; -v0x56382ab44730_0 .net/2u *"_ivl_186", 6 0, L_0x7f354a683768; 1 drivers -v0x56382ab44810_0 .net *"_ivl_188", 0 0, L_0x56382ab61df0; 1 drivers -L_0x7f354a6837b0 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; -v0x56382ab448d0_0 .net/2u *"_ivl_190", 2 0, L_0x7f354a6837b0; 1 drivers -v0x56382ab449b0_0 .net *"_ivl_192", 0 0, L_0x56382ab620f0; 1 drivers -v0x56382ab44a70_0 .net *"_ivl_195", 0 0, L_0x56382ab623d0; 1 drivers -L_0x7f354a6837f8 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; -v0x56382ab44b30_0 .net/2u *"_ivl_196", 6 0, L_0x7f354a6837f8; 1 drivers -v0x56382ab44c10_0 .net *"_ivl_198", 0 0, L_0x56382ab62540; 1 drivers -v0x56382ab44cd0_0 .net *"_ivl_20", 0 0, L_0x56382ab4c0b0; 1 drivers -L_0x7f354a683840 .functor BUFT 1, C4<1100011>, C4<0>, C4<0>, C4<0>; -v0x56382ab44d90_0 .net/2u *"_ivl_202", 6 0, L_0x7f354a683840; 1 drivers -v0x56382ab44e70_0 .net *"_ivl_204", 0 0, L_0x56382ab62740; 1 drivers -L_0x7f354a683888 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; -v0x56382ab44f30_0 .net/2u *"_ivl_206", 2 0, L_0x7f354a683888; 1 drivers -v0x56382ab45010_0 .net *"_ivl_208", 0 0, L_0x56382ab62a30; 1 drivers -L_0x7f354a6838d0 .functor BUFT 1, C4<1100011>, C4<0>, C4<0>, C4<0>; -v0x56382ab450d0_0 .net/2u *"_ivl_212", 6 0, L_0x7f354a6838d0; 1 drivers -v0x56382ab451b0_0 .net *"_ivl_214", 0 0, L_0x56382ab62c50; 1 drivers -L_0x7f354a683918 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; -v0x56382ab45270_0 .net/2u *"_ivl_216", 2 0, L_0x7f354a683918; 1 drivers -v0x56382ab45350_0 .net *"_ivl_218", 0 0, L_0x56382ab63160; 1 drivers -L_0x7f354a683060 .functor BUFT 1, C4<0010111>, C4<0>, C4<0>, C4<0>; -v0x56382ab45410_0 .net/2u *"_ivl_22", 6 0, L_0x7f354a683060; 1 drivers -L_0x7f354a683960 .functor BUFT 1, C4<1100011>, C4<0>, C4<0>, C4<0>; -v0x56382ab454f0_0 .net/2u *"_ivl_222", 6 0, L_0x7f354a683960; 1 drivers -v0x56382ab455d0_0 .net *"_ivl_224", 0 0, L_0x56382ab63360; 1 drivers -L_0x7f354a6839a8 .functor BUFT 1, C4<100>, C4<0>, C4<0>, C4<0>; -v0x56382ab45690_0 .net/2u *"_ivl_226", 2 0, L_0x7f354a6839a8; 1 drivers -v0x56382ab45770_0 .net *"_ivl_228", 0 0, L_0x56382ab63670; 1 drivers -L_0x7f354a6839f0 .functor BUFT 1, C4<1100011>, C4<0>, C4<0>, C4<0>; -v0x56382ab45830_0 .net/2u *"_ivl_232", 6 0, L_0x7f354a6839f0; 1 drivers -v0x56382ab45910_0 .net *"_ivl_234", 0 0, L_0x56382ab638f0; 1 drivers -L_0x7f354a683a38 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; -v0x56382ab459d0_0 .net/2u *"_ivl_236", 2 0, L_0x7f354a683a38; 1 drivers -v0x56382ab45ab0_0 .net *"_ivl_238", 0 0, L_0x56382ab63c10; 1 drivers -v0x56382ab45b70_0 .net *"_ivl_24", 0 0, L_0x56382ab4c1d0; 1 drivers -L_0x7f354a683a80 .functor BUFT 1, C4<1100011>, C4<0>, C4<0>, C4<0>; -v0x56382ab45c30_0 .net/2u *"_ivl_242", 6 0, L_0x7f354a683a80; 1 drivers -v0x56382ab45d10_0 .net *"_ivl_244", 0 0, L_0x56382ab63da0; 1 drivers -L_0x7f354a683ac8 .functor BUFT 1, C4<110>, C4<0>, C4<0>, C4<0>; -v0x56382ab45dd0_0 .net/2u *"_ivl_246", 2 0, L_0x7f354a683ac8; 1 drivers -v0x56382ab45eb0_0 .net *"_ivl_248", 0 0, L_0x56382ab640d0; 1 drivers -L_0x7f354a683b10 .functor BUFT 1, C4<1100011>, C4<0>, C4<0>, C4<0>; -v0x56382ab45f70_0 .net/2u *"_ivl_252", 6 0, L_0x7f354a683b10; 1 drivers -v0x56382ab46050_0 .net *"_ivl_254", 0 0, L_0x56382ab64390; 1 drivers -L_0x7f354a683b58 .functor BUFT 1, C4<111>, C4<0>, C4<0>, C4<0>; -v0x56382ab46110_0 .net/2u *"_ivl_256", 2 0, L_0x7f354a683b58; 1 drivers -v0x56382ab461f0_0 .net *"_ivl_258", 0 0, L_0x56382ab646d0; 1 drivers -v0x56382ab462b0_0 .net *"_ivl_265", 0 0, L_0x56382ab64cf0; 1 drivers -v0x56382ab46370_0 .net *"_ivl_266", 31 0, L_0x56382ab64e50; 1 drivers -L_0x7f354a683ba0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x56382ab46450_0 .net/2u *"_ivl_268", 31 0, L_0x7f354a683ba0; 1 drivers -v0x56382ab46530_0 .net *"_ivl_276", 0 0, L_0x56382ab64db0; 1 drivers -v0x56382ab465f0_0 .net *"_ivl_278", 0 0, L_0x56382ab65520; 1 drivers -L_0x7f354a6830a8 .functor BUFT 1, C4<0000011>, C4<0>, C4<0>, C4<0>; -v0x56382ab466b0_0 .net/2u *"_ivl_28", 6 0, L_0x7f354a6830a8; 1 drivers -v0x56382ab46790_0 .net *"_ivl_280", 0 0, L_0x56382ab65840; 1 drivers -v0x56382ab46850_0 .net *"_ivl_282", 0 0, L_0x56382ab658e0; 1 drivers -v0x56382ab46910_0 .net *"_ivl_284", 0 0, L_0x56382ab65c10; 1 drivers -v0x56382ab469d0_0 .net *"_ivl_286", 0 0, L_0x56382ab65cb0; 1 drivers -L_0x7f354a683be8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -v0x56382ab46a90_0 .net/2u *"_ivl_288", 0 0, L_0x7f354a683be8; 1 drivers -v0x56382ab46b70_0 .net *"_ivl_290", 0 0, L_0x56382ab66020; 1 drivers -v0x56382ab46c50_0 .net *"_ivl_292", 0 0, L_0x56382ab661e0; 1 drivers -v0x56382ab46d30_0 .net *"_ivl_294", 0 0, L_0x56382ab66620; 1 drivers -v0x56382ab46e10_0 .net *"_ivl_296", 0 0, L_0x56382ab667b0; 1 drivers -v0x56382ab46ef0_0 .net *"_ivl_298", 0 0, L_0x56382ab66c00; 1 drivers -v0x56382ab46fd0_0 .net *"_ivl_3", 29 0, L_0x56382ab4b9f0; 1 drivers -v0x56382ab470b0_0 .net *"_ivl_30", 0 0, L_0x56382ab4c370; 1 drivers -L_0x7f354a683c30 .functor BUFT 1, C4<00000000000000000000000000000100>, C4<0>, C4<0>, C4<0>; -v0x56382ab47170_0 .net/2u *"_ivl_304", 31 0, L_0x7f354a683c30; 1 drivers -v0x56382ab47250_0 .net *"_ivl_310", 31 0, L_0x56382ab678b0; 1 drivers -L_0x7f354a683c78 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x56382ab47330_0 .net *"_ivl_313", 26 0, L_0x7f354a683c78; 1 drivers -L_0x7f354a683cc0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; -v0x56382ab47410_0 .net/2u *"_ivl_314", 31 0, L_0x7f354a683cc0; 1 drivers -v0x56382ab474f0_0 .net *"_ivl_316", 0 0, L_0x56382ab67ce0; 1 drivers -v0x56382ab475b0_0 .net *"_ivl_319", 0 0, L_0x56382ab67290; 1 drivers -L_0x7f354a6830f0 .functor BUFT 1, C4<0000111>, C4<0>, C4<0>, C4<0>; -v0x56382ab47670_0 .net/2u *"_ivl_32", 6 0, L_0x7f354a6830f0; 1 drivers -v0x56382ab47750_0 .net *"_ivl_34", 0 0, L_0x56382ab4c440; 1 drivers -v0x56382ab47810_0 .net *"_ivl_37", 0 0, L_0x56382ab225e0; 1 drivers -L_0x7f354a683138 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; -v0x56382ab478d0_0 .net/2u *"_ivl_38", 6 0, L_0x7f354a683138; 1 drivers -v0x56382ab479b0_0 .net *"_ivl_40", 0 0, L_0x56382ab4c6c0; 1 drivers -v0x56382ab47a70_0 .net *"_ivl_43", 0 0, L_0x56382ab4c7b0; 1 drivers -L_0x7f354a683180 .functor BUFT 1, C4<0011011>, C4<0>, C4<0>, C4<0>; -v0x56382ab47b30_0 .net/2u *"_ivl_44", 6 0, L_0x7f354a683180; 1 drivers -v0x56382ab47c10_0 .net *"_ivl_46", 0 0, L_0x56382ab4c8f0; 1 drivers -v0x56382ab47cd0_0 .net *"_ivl_49", 0 0, L_0x56382ab4c560; 1 drivers -L_0x7f354a6831c8 .functor BUFT 1, C4<1100111>, C4<0>, C4<0>, C4<0>; -v0x56382ab47d90_0 .net/2u *"_ivl_50", 6 0, L_0x7f354a6831c8; 1 drivers -v0x56382ab47e70_0 .net *"_ivl_52", 0 0, L_0x56382ab4cb00; 1 drivers -L_0x7f354a683210 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; -v0x56382ab47f30_0 .net/2u *"_ivl_56", 6 0, L_0x7f354a683210; 1 drivers -v0x56382ab48010_0 .net *"_ivl_58", 0 0, L_0x56382ab4cd40; 1 drivers -L_0x7f354a683258 .functor BUFT 1, C4<0111011>, C4<0>, C4<0>, C4<0>; -v0x56382ab480d0_0 .net/2u *"_ivl_60", 6 0, L_0x7f354a683258; 1 drivers -v0x56382ab481b0_0 .net *"_ivl_62", 0 0, L_0x56382ab4cec0; 1 drivers -L_0x7f354a6832a0 .functor BUFT 1, C4<0100011>, C4<0>, C4<0>, C4<0>; -v0x56382ab48270_0 .net/2u *"_ivl_66", 6 0, L_0x7f354a6832a0; 1 drivers -v0x56382ab48350_0 .net *"_ivl_68", 0 0, L_0x56382ab4d070; 1 drivers -L_0x7f354a6832e8 .functor BUFT 1, C4<0100111>, C4<0>, C4<0>, C4<0>; -v0x56382ab48410_0 .net/2u *"_ivl_70", 6 0, L_0x7f354a6832e8; 1 drivers -v0x56382ab484f0_0 .net *"_ivl_72", 0 0, L_0x56382ab4d200; 1 drivers -L_0x7f354a683330 .functor BUFT 1, C4<1100011>, C4<0>, C4<0>, C4<0>; -v0x56382ab485b0_0 .net/2u *"_ivl_76", 6 0, L_0x7f354a683330; 1 drivers -L_0x7f354a683378 .functor BUFT 1, C4<1101111>, C4<0>, C4<0>, C4<0>; -v0x56382ab48690_0 .net/2u *"_ivl_80", 6 0, L_0x7f354a683378; 1 drivers -v0x56382ab48770_0 .net *"_ivl_85", 0 0, L_0x56382ab4d670; 1 drivers -v0x56382ab48850_0 .net *"_ivl_86", 19 0, L_0x56382ab4d7d0; 1 drivers -v0x56382ab48930_0 .net *"_ivl_89", 11 0, L_0x56382ab4da00; 1 drivers -v0x56382ab48a10_0 .net *"_ivl_93", 0 0, L_0x56382ab4dc90; 1 drivers -v0x56382ab48af0_0 .net *"_ivl_94", 19 0, L_0x56382ab4de10; 1 drivers -v0x56382ab48bd0_0 .net *"_ivl_97", 6 0, L_0x56382ab4e1c0; 1 drivers -v0x56382ab48cb0_0 .net *"_ivl_99", 4 0, L_0x56382ab4e350; 1 drivers -v0x56382ab495a0_0 .net "alu_result", 31 0, L_0x56382ab65000; 1 drivers -v0x56382ab49680_0 .net "alu_src2", 31 0, L_0x56382ab64900; 1 drivers -v0x56382ab49760_0 .net "branch_taken", 0 0, L_0x56382ab66d90; 1 drivers -v0x56382ab49820_0 .net "branch_target", 31 0, L_0x56382ab671f0; 1 drivers -v0x56382ab49900_0 .net "clk", 0 0, v0x56382ab4b5e0_0; 1 drivers -v0x56382ab499c0_0 .net "funct3", 2 0, L_0x56382ab4bec0; 1 drivers -v0x56382ab49aa0_0 .net "funct7", 6 0, L_0x56382ab4bf60; 1 drivers -v0x56382ab49b80_0 .var/i "i", 31 0; -v0x56382ab49c60 .array "imem", 63 0, 31 0; -v0x56382ab49d20_0 .net "instr", 31 0, L_0x56382ab26210; alias, 1 drivers -v0x56382ab49e00_0 .net "isADD", 0 0, L_0x56382ab62630; 1 drivers -v0x56382ab49ec0_0 .net "isADDI", 0 0, L_0x56382ab61ce0; 1 drivers -v0x56382ab49f80_0 .net "isBEQ", 0 0, L_0x56382ab62ad0; 1 drivers -v0x56382ab4a040_0 .net "isBGE", 0 0, L_0x56382ab62be0; 1 drivers -v0x56382ab4a100_0 .net "isBGEU", 0 0, L_0x56382ab647f0; 1 drivers -v0x56382ab4a1c0_0 .net "isBLT", 0 0, L_0x56382ab63760; 1 drivers -v0x56382ab4a280_0 .net "isBLTU", 0 0, L_0x56382ab641f0; 1 drivers -v0x56382ab4a340_0 .net "isBNE", 0 0, L_0x56382ab63250; 1 drivers -v0x56382ab4a400_0 .net "isBType", 0 0, L_0x56382ab4d450; 1 drivers -v0x56382ab4a4c0_0 .net "isIType", 0 0, L_0x56382ab4cbf0; 1 drivers -v0x56382ab4a580_0 .net "isJType", 0 0, L_0x56382ab4d160; 1 drivers -v0x56382ab4a640_0 .net "isRType", 0 0, L_0x56382ab4cf60; 1 drivers -v0x56382ab4a700_0 .net "isSType", 0 0, L_0x56382ab4d2f0; 1 drivers -v0x56382ab4a7c0_0 .net "isUType", 0 0, L_0x56382ab219e0; 1 drivers -v0x56382ab4a880_0 .net "next_pc", 31 0, L_0x56382ab676d0; alias, 1 drivers -v0x56382ab4a960_0 .net "next_pc_base", 31 0, L_0x56382ab67350; 1 drivers -v0x56382ab4aa40_0 .net "opcode", 6 0, L_0x56382ab4bbd0; 1 drivers -v0x56382ab4ab20_0 .var "pc", 31 0; -v0x56382ab4ac00_0 .net "rd", 4 0, L_0x56382ab4bde0; 1 drivers -v0x56382ab4ace0 .array "rf", 31 0, 31 0; -v0x56382ab4ada0_0 .net "rf_write_enable", 0 0, L_0x56382ab67e20; 1 drivers -v0x56382ab4ae60_0 .net "rs1", 4 0, L_0x56382ab4bc70; 1 drivers -v0x56382ab4af40_0 .net "rs1_val", 31 0, L_0x56382ab60c10; 1 drivers -v0x56382ab4b020_0 .net "rs2", 4 0, L_0x56382ab4bd40; 1 drivers -v0x56382ab4b100_0 .net "rs2_val", 31 0, L_0x56382ab61570; 1 drivers -v0x56382ab4b1e0_0 .net "rst", 0 0, v0x56382ab4b880_0; 1 drivers -v0x56382ab4b2a0_0 .net/s "signed_rs1", 31 0, L_0x56382ab64ef0; 1 drivers -v0x56382ab4b380_0 .net/s "signed_rs2", 31 0, L_0x56382ab65400; 1 drivers -v0x56382ab4b460_0 .net "writeback_data", 31 0, L_0x56382ab67fa0; 1 drivers -E_0x56382aae7800 .event posedge, v0x56382ab49900_0; -L_0x56382ab4b920 .array/port v0x56382ab49c60, L_0x56382ab4b9f0; -L_0x56382ab4b9f0 .part v0x56382ab4ab20_0, 2, 30; -L_0x56382ab4bbd0 .part L_0x56382ab26210, 0, 7; -L_0x56382ab4bc70 .part L_0x56382ab26210, 15, 5; -L_0x56382ab4bd40 .part L_0x56382ab26210, 20, 5; -L_0x56382ab4bde0 .part L_0x56382ab26210, 7, 5; -L_0x56382ab4bec0 .part L_0x56382ab26210, 12, 3; -L_0x56382ab4bf60 .part L_0x56382ab26210, 25, 7; -L_0x56382ab4c0b0 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a683018; -L_0x56382ab4c1d0 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a683060; -L_0x56382ab4c370 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a6830a8; -L_0x56382ab4c440 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a6830f0; -L_0x56382ab4c6c0 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a683138; -L_0x56382ab4c8f0 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a683180; -L_0x56382ab4cb00 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a6831c8; -L_0x56382ab4cd40 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a683210; -L_0x56382ab4cec0 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a683258; -L_0x56382ab4d070 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a6832a0; -L_0x56382ab4d200 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a6832e8; -L_0x56382ab4d450 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a683330; -L_0x56382ab4d160 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a683378; -L_0x56382ab4d670 .part L_0x56382ab26210, 31, 1; -LS_0x56382ab4d7d0_0_0 .concat [ 1 1 1 1], L_0x56382ab4d670, L_0x56382ab4d670, L_0x56382ab4d670, L_0x56382ab4d670; -LS_0x56382ab4d7d0_0_4 .concat [ 1 1 1 1], L_0x56382ab4d670, L_0x56382ab4d670, L_0x56382ab4d670, L_0x56382ab4d670; -LS_0x56382ab4d7d0_0_8 .concat [ 1 1 1 1], L_0x56382ab4d670, L_0x56382ab4d670, L_0x56382ab4d670, L_0x56382ab4d670; -LS_0x56382ab4d7d0_0_12 .concat [ 1 1 1 1], L_0x56382ab4d670, L_0x56382ab4d670, L_0x56382ab4d670, L_0x56382ab4d670; -LS_0x56382ab4d7d0_0_16 .concat [ 1 1 1 1], L_0x56382ab4d670, L_0x56382ab4d670, L_0x56382ab4d670, L_0x56382ab4d670; -LS_0x56382ab4d7d0_1_0 .concat [ 4 4 4 4], LS_0x56382ab4d7d0_0_0, LS_0x56382ab4d7d0_0_4, LS_0x56382ab4d7d0_0_8, LS_0x56382ab4d7d0_0_12; -LS_0x56382ab4d7d0_1_4 .concat [ 4 0 0 0], LS_0x56382ab4d7d0_0_16; -L_0x56382ab4d7d0 .concat [ 16 4 0 0], LS_0x56382ab4d7d0_1_0, LS_0x56382ab4d7d0_1_4; -L_0x56382ab4da00 .part L_0x56382ab26210, 20, 12; -L_0x56382ab4db70 .concat [ 12 20 0 0], L_0x56382ab4da00, L_0x56382ab4d7d0; -L_0x56382ab4dc90 .part L_0x56382ab26210, 31, 1; -LS_0x56382ab4de10_0_0 .concat [ 1 1 1 1], L_0x56382ab4dc90, L_0x56382ab4dc90, L_0x56382ab4dc90, L_0x56382ab4dc90; -LS_0x56382ab4de10_0_4 .concat [ 1 1 1 1], L_0x56382ab4dc90, L_0x56382ab4dc90, L_0x56382ab4dc90, L_0x56382ab4dc90; -LS_0x56382ab4de10_0_8 .concat [ 1 1 1 1], L_0x56382ab4dc90, L_0x56382ab4dc90, L_0x56382ab4dc90, L_0x56382ab4dc90; -LS_0x56382ab4de10_0_12 .concat [ 1 1 1 1], L_0x56382ab4dc90, L_0x56382ab4dc90, L_0x56382ab4dc90, L_0x56382ab4dc90; -LS_0x56382ab4de10_0_16 .concat [ 1 1 1 1], L_0x56382ab4dc90, L_0x56382ab4dc90, L_0x56382ab4dc90, L_0x56382ab4dc90; -LS_0x56382ab4de10_1_0 .concat [ 4 4 4 4], LS_0x56382ab4de10_0_0, LS_0x56382ab4de10_0_4, LS_0x56382ab4de10_0_8, LS_0x56382ab4de10_0_12; -LS_0x56382ab4de10_1_4 .concat [ 4 0 0 0], LS_0x56382ab4de10_0_16; -L_0x56382ab4de10 .concat [ 16 4 0 0], LS_0x56382ab4de10_1_0, LS_0x56382ab4de10_1_4; -L_0x56382ab4e1c0 .part L_0x56382ab26210, 25, 7; -L_0x56382ab4e350 .part L_0x56382ab26210, 7, 5; -L_0x56382ab4e420 .concat [ 5 7 20 0], L_0x56382ab4e350, L_0x56382ab4e1c0, L_0x56382ab4de10; -L_0x56382ab4e6c0 .part L_0x56382ab26210, 31, 1; -LS_0x56382ab4e760_0_0 .concat [ 1 1 1 1], L_0x56382ab4e6c0, L_0x56382ab4e6c0, L_0x56382ab4e6c0, L_0x56382ab4e6c0; -LS_0x56382ab4e760_0_4 .concat [ 1 1 1 1], L_0x56382ab4e6c0, L_0x56382ab4e6c0, L_0x56382ab4e6c0, L_0x56382ab4e6c0; -LS_0x56382ab4e760_0_8 .concat [ 1 1 1 1], L_0x56382ab4e6c0, L_0x56382ab4e6c0, L_0x56382ab4e6c0, L_0x56382ab4e6c0; -LS_0x56382ab4e760_0_12 .concat [ 1 1 1 1], L_0x56382ab4e6c0, L_0x56382ab4e6c0, L_0x56382ab4e6c0, L_0x56382ab4e6c0; -LS_0x56382ab4e760_0_16 .concat [ 1 1 1 0], L_0x56382ab4e6c0, L_0x56382ab4e6c0, L_0x56382ab4e6c0; -LS_0x56382ab4e760_1_0 .concat [ 4 4 4 4], LS_0x56382ab4e760_0_0, LS_0x56382ab4e760_0_4, LS_0x56382ab4e760_0_8, LS_0x56382ab4e760_0_12; -LS_0x56382ab4e760_1_4 .concat [ 3 0 0 0], LS_0x56382ab4e760_0_16; -L_0x56382ab4e760 .concat [ 16 3 0 0], LS_0x56382ab4e760_1_0, LS_0x56382ab4e760_1_4; -L_0x56382ab4ec20 .part L_0x56382ab26210, 31, 1; -L_0x56382ab4ecc0 .part L_0x56382ab26210, 7, 1; -L_0x56382ab4f090 .part L_0x56382ab26210, 25, 6; -L_0x56382ab4f160 .part L_0x56382ab26210, 8, 4; -LS_0x56382ab4efa0_0_0 .concat [ 1 4 6 1], L_0x7f354a6833c0, L_0x56382ab4f160, L_0x56382ab4f090, L_0x56382ab4ecc0; -LS_0x56382ab4efa0_0_4 .concat [ 1 19 0 0], L_0x56382ab4ec20, L_0x56382ab4e760; -L_0x56382ab4efa0 .concat [ 12 20 0 0], LS_0x56382ab4efa0_0_0, LS_0x56382ab4efa0_0_4; -L_0x56382ab4f4f0 .part L_0x56382ab26210, 12, 20; -L_0x56382ab5f6e0 .concat [ 12 20 0 0], L_0x7f354a683408, L_0x56382ab4f4f0; -L_0x56382ab5f7d0 .part L_0x56382ab26210, 31, 1; -LS_0x56382ab5f9c0_0_0 .concat [ 1 1 1 1], L_0x56382ab5f7d0, L_0x56382ab5f7d0, L_0x56382ab5f7d0, L_0x56382ab5f7d0; -LS_0x56382ab5f9c0_0_4 .concat [ 1 1 1 1], L_0x56382ab5f7d0, L_0x56382ab5f7d0, L_0x56382ab5f7d0, L_0x56382ab5f7d0; -LS_0x56382ab5f9c0_0_8 .concat [ 1 1 1 0], L_0x56382ab5f7d0, L_0x56382ab5f7d0, L_0x56382ab5f7d0; -L_0x56382ab5f9c0 .concat [ 4 4 3 0], LS_0x56382ab5f9c0_0_0, LS_0x56382ab5f9c0_0_4, LS_0x56382ab5f9c0_0_8; -L_0x56382ab5fb20 .part L_0x56382ab26210, 31, 1; -L_0x56382ab5fd20 .part L_0x56382ab26210, 12, 8; -L_0x56382ab5fdc0 .part L_0x56382ab26210, 20, 1; -L_0x56382ab5ffd0 .part L_0x56382ab26210, 21, 10; -LS_0x56382ab60070_0_0 .concat [ 1 10 1 8], L_0x7f354a683450, L_0x56382ab5ffd0, L_0x56382ab5fdc0, L_0x56382ab5fd20; -LS_0x56382ab60070_0_4 .concat [ 1 11 0 0], L_0x56382ab5fb20, L_0x56382ab5f9c0; -L_0x56382ab60070 .concat [ 20 12 0 0], LS_0x56382ab60070_0_0, LS_0x56382ab60070_0_4; -L_0x56382ab60450 .concat [ 5 27 0 0], L_0x56382ab4bc70, L_0x7f354a683498; -L_0x56382ab605c0 .cmp/ne 32, L_0x56382ab60450, L_0x7f354a6834e0; -L_0x56382ab60890 .array/port v0x56382ab4ace0, L_0x56382ab60930; -L_0x56382ab60930 .concat [ 5 2 0 0], L_0x56382ab4bc70, L_0x7f354a683528; -L_0x56382ab60c10 .functor MUXZ 32, L_0x7f354a683570, L_0x56382ab60890, L_0x56382ab605c0, C4<>; -L_0x56382ab60da0 .concat [ 5 27 0 0], L_0x56382ab4bd40, L_0x7f354a6835b8; -L_0x56382ab61090 .cmp/ne 32, L_0x56382ab60da0, L_0x7f354a683600; -L_0x56382ab611d0 .array/port v0x56382ab4ace0, L_0x56382ab61430; -L_0x56382ab61430 .concat [ 5 2 0 0], L_0x56382ab4bd40, L_0x7f354a683648; -L_0x56382ab61570 .functor MUXZ 32, L_0x7f354a683690, L_0x56382ab611d0, L_0x56382ab61090, C4<>; -L_0x56382ab618d0 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a6836d8; -L_0x56382ab619c0 .cmp/eq 3, L_0x56382ab4bec0, L_0x7f354a683720; -L_0x56382ab61df0 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a683768; -L_0x56382ab620f0 .cmp/eq 3, L_0x56382ab4bec0, L_0x7f354a6837b0; -L_0x56382ab62540 .cmp/eq 7, L_0x56382ab4bf60, L_0x7f354a6837f8; -L_0x56382ab62740 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a683840; -L_0x56382ab62a30 .cmp/eq 3, L_0x56382ab4bec0, L_0x7f354a683888; -L_0x56382ab62c50 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a6838d0; -L_0x56382ab63160 .cmp/eq 3, L_0x56382ab4bec0, L_0x7f354a683918; -L_0x56382ab63360 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a683960; -L_0x56382ab63670 .cmp/eq 3, L_0x56382ab4bec0, L_0x7f354a6839a8; -L_0x56382ab638f0 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a6839f0; -L_0x56382ab63c10 .cmp/eq 3, L_0x56382ab4bec0, L_0x7f354a683a38; -L_0x56382ab63da0 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a683a80; -L_0x56382ab640d0 .cmp/eq 3, L_0x56382ab4bec0, L_0x7f354a683ac8; -L_0x56382ab64390 .cmp/eq 7, L_0x56382ab4bbd0, L_0x7f354a683b10; -L_0x56382ab646d0 .cmp/eq 3, L_0x56382ab4bec0, L_0x7f354a683b58; -L_0x56382ab64900 .functor MUXZ 32, L_0x56382ab61570, L_0x56382ab4db70, L_0x56382ab61ce0, C4<>; -L_0x56382ab64e50 .arith/sum 32, L_0x56382ab60c10, L_0x56382ab64900; -L_0x56382ab65000 .functor MUXZ 32, L_0x7f354a683ba0, L_0x56382ab64e50, L_0x56382ab64cf0, C4<>; -L_0x56382ab64db0 .cmp/eq 32, L_0x56382ab60c10, L_0x56382ab61570; -L_0x56382ab65520 .cmp/ne 32, L_0x56382ab60c10, L_0x56382ab61570; -L_0x56382ab65840 .cmp/gt.s 32, L_0x56382ab65400, L_0x56382ab64ef0; -L_0x56382ab658e0 .cmp/ge.s 32, L_0x56382ab64ef0, L_0x56382ab65400; -L_0x56382ab65c10 .cmp/gt 32, L_0x56382ab61570, L_0x56382ab60c10; -L_0x56382ab65cb0 .cmp/ge 32, L_0x56382ab60c10, L_0x56382ab61570; -L_0x56382ab66020 .functor MUXZ 1, L_0x7f354a683be8, L_0x56382ab65cb0, L_0x56382ab647f0, C4<>; -L_0x56382ab661e0 .functor MUXZ 1, L_0x56382ab66020, L_0x56382ab65c10, L_0x56382ab641f0, C4<>; -L_0x56382ab66620 .functor MUXZ 1, L_0x56382ab661e0, L_0x56382ab658e0, L_0x56382ab62be0, C4<>; -L_0x56382ab667b0 .functor MUXZ 1, L_0x56382ab66620, L_0x56382ab65840, L_0x56382ab63760, C4<>; -L_0x56382ab66c00 .functor MUXZ 1, L_0x56382ab667b0, L_0x56382ab65520, L_0x56382ab63250, C4<>; -L_0x56382ab66d90 .functor MUXZ 1, L_0x56382ab66c00, L_0x56382ab64db0, L_0x56382ab62ad0, C4<>; -L_0x56382ab671f0 .arith/sum 32, v0x56382ab4ab20_0, L_0x56382ab4efa0; -L_0x56382ab67350 .arith/sum 32, v0x56382ab4ab20_0, L_0x7f354a683c30; -L_0x56382ab676d0 .functor MUXZ 32, L_0x56382ab67350, L_0x56382ab671f0, L_0x56382ab66d90, C4<>; -L_0x56382ab678b0 .concat [ 5 27 0 0], L_0x56382ab4bde0, L_0x7f354a683c78; -L_0x56382ab67ce0 .cmp/ne 32, L_0x56382ab678b0, L_0x7f354a683cc0; - .scope S_0x56382ab19c80; +L_0x55a5df8cd5e0 .functor OR 1, L_0x55a5df8cdd40, L_0x55a5df8cde60, C4<0>, C4<0>; +L_0x55a5df883440 .functor OR 1, L_0x55a5df8cdc70, L_0x55a5df8ce050, C4<0>, C4<0>; +L_0x55a5df8839b0 .functor OR 1, L_0x55a5df883440, L_0x55a5df8ce2d0, C4<0>, C4<0>; +L_0x55a5df884590 .functor OR 1, L_0x55a5df8839b0, L_0x55a5df8ce460, C4<0>, C4<0>; +L_0x55a5df885d80 .functor OR 1, L_0x55a5df884590, L_0x55a5df8ce6f0, C4<0>, C4<0>; +L_0x55a5df8cec80 .functor OR 1, L_0x55a5df8ce990, L_0x55a5df8ceb60, C4<0>, C4<0>; +L_0x55a5df8cf1b0 .functor OR 1, L_0x55a5df8ceee0, L_0x55a5df8cf0c0, C4<0>, C4<0>; +L_0x55a5df8d4250 .functor AND 1, L_0x55a5df8d3df0, L_0x55a5df8d3ee0, C4<1>, C4<1>; +L_0x55a5df8d49e0 .functor AND 1, L_0x55a5df8d43b0, L_0x55a5df8d46b0, C4<1>, C4<1>; +L_0x55a5df8d4c30 .functor AND 1, L_0x55a5df8d49e0, L_0x55a5df8d4af0, C4<1>, C4<1>; +L_0x55a5df8d5090 .functor AND 1, L_0x55a5df8cf2c0, L_0x55a5df8d4da0, C4<1>, C4<1>; +L_0x55a5df8d5240 .functor AND 1, L_0x55a5df8cf2c0, L_0x55a5df8d5150, C4<1>, C4<1>; +L_0x55a5df8d56c0 .functor AND 1, L_0x55a5df8cf2c0, L_0x55a5df8d5370, C4<1>, C4<1>; +L_0x55a5df8d5820 .functor AND 1, L_0x55a5df8cf2c0, L_0x55a5df8d5730, C4<1>, C4<1>; +L_0x55a5df8d5300 .functor AND 1, L_0x55a5df8cf2c0, L_0x55a5df8d5960, C4<1>, C4<1>; +L_0x55a5df8d5e00 .functor AND 1, L_0x55a5df8cf2c0, L_0x55a5df8d5d10, C4<1>, C4<1>; +L_0x55a5df8d62f0 .functor AND 1, L_0x55a5df885d80, L_0x55a5df8d5f50, C4<1>, C4<1>; +L_0x55a5df8d64f0 .functor AND 1, L_0x55a5df885d80, L_0x55a5df8d6400, C4<1>, C4<1>; +L_0x55a5df8d6b20 .functor AND 1, L_0x55a5df8d6650, L_0x55a5df8d6a00, C4<1>, C4<1>; +L_0x55a5df8d6fc0 .functor AND 1, L_0x55a5df885d80, L_0x55a5df8d6c30, C4<1>, C4<1>; +L_0x55a5df8d7160 .functor AND 1, L_0x55a5df885d80, L_0x55a5df8d65b0, C4<1>, C4<1>; +L_0x55a5df8d75f0 .functor AND 1, L_0x55a5df8cf1b0, L_0x55a5df8d7220, C4<1>, C4<1>; +L_0x55a5df8d7ac0 .functor AND 1, L_0x55a5df8cf1b0, L_0x55a5df8d77c0, C4<1>, C4<1>; +L_0x55a5df8d8080 .functor AND 1, L_0x55a5df8d7b80, L_0x55a5df8d7f60, C4<1>, C4<1>; +L_0x55a5df8d8620 .functor AND 1, L_0x55a5df8cec80, L_0x55a5df8d8260, C4<1>, C4<1>; +L_0x7fbf529c6f00 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x55a5df8d87d0 .functor XNOR 1, L_0x55a5df8d8730, L_0x7fbf529c6f00, C4<0>, C4<0>; +L_0x55a5df8d89f0 .functor AND 1, L_0x55a5df8d8620, L_0x55a5df8d87d0, C4<1>, C4<1>; +L_0x55a5df8d8ed0 .functor AND 1, L_0x55a5df8cec80, L_0x55a5df8d8b00, C4<1>, C4<1>; +L_0x7fbf529c6f90 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x55a5df8d9120 .functor XNOR 1, L_0x55a5df8d9080, L_0x7fbf529c6f90, C4<0>, C4<0>; +L_0x55a5df8d9210 .functor AND 1, L_0x55a5df8d8ed0, L_0x55a5df8d9120, C4<1>, C4<1>; +L_0x55a5df8d9800 .functor AND 1, L_0x55a5df8cec80, L_0x55a5df8d9420, C4<1>, C4<1>; +L_0x7fbf529c7020 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x55a5df8d99a0 .functor XNOR 1, L_0x55a5df8d9900, L_0x7fbf529c7020, C4<0>, C4<0>; +L_0x55a5df8d9bf0 .functor AND 1, L_0x55a5df8d9800, L_0x55a5df8d99a0, C4<1>, C4<1>; +L_0x55a5df8da0f0 .functor AND 1, L_0x55a5df885d80, L_0x55a5df8d9d00, C4<1>, C4<1>; +L_0x55a5df8da3c0 .functor AND 1, L_0x55a5df885d80, L_0x55a5df8da2d0, C4<1>, C4<1>; +L_0x55a5df8da8b0 .functor AND 1, L_0x55a5df8cec80, L_0x55a5df8da480, C4<1>, C4<1>; +L_0x7fbf529c7140 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>; +L_0x55a5df8daaa0 .functor XNOR 1, L_0x55a5df8da1b0, L_0x7fbf529c7140, C4<0>, C4<0>; +L_0x55a5df8dabb0 .functor AND 1, L_0x55a5df8da8b0, L_0x55a5df8daaa0, C4<1>, C4<1>; +L_0x55a5df8db210 .functor AND 1, L_0x55a5df8cec80, L_0x55a5df8dae00, C4<1>, C4<1>; +L_0x7fbf529c71d0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x55a5df8db370 .functor XNOR 1, L_0x55a5df8db2d0, L_0x7fbf529c71d0, C4<0>, C4<0>; +L_0x55a5df8db600 .functor AND 1, L_0x55a5df8db210, L_0x55a5df8db370, C4<1>, C4<1>; +L_0x55a5df8dbb30 .functor AND 1, L_0x55a5df885d80, L_0x55a5df8db710, C4<1>, C4<1>; +L_0x7fbf529c7260 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>; +L_0x55a5df8dbdf0 .functor XNOR 1, L_0x55a5df8dbd50, L_0x7fbf529c7260, C4<0>, C4<0>; +L_0x55a5df8dbf30 .functor AND 1, L_0x55a5df8dbb30, L_0x55a5df8dbdf0, C4<1>, C4<1>; +L_0x55a5df8dc5e0 .functor AND 1, L_0x55a5df885d80, L_0x55a5df8dc1b0, C4<1>, C4<1>; +L_0x7fbf529c72f0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x55a5df8dc740 .functor XNOR 1, L_0x55a5df8dc6a0, L_0x7fbf529c72f0, C4<0>, C4<0>; +L_0x55a5df8dca00 .functor AND 1, L_0x55a5df8dc5e0, L_0x55a5df8dc740, C4<1>, C4<1>; +L_0x55a5df8dcf50 .functor AND 1, L_0x55a5df885d80, L_0x55a5df8dcb10, C4<1>, C4<1>; +L_0x7fbf529c7380 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x55a5df8dd240 .functor XNOR 1, L_0x55a5df8dd1a0, L_0x7fbf529c7380, C4<0>, C4<0>; +L_0x55a5df8dd380 .functor AND 1, L_0x55a5df8dcf50, L_0x55a5df8dd240, C4<1>, C4<1>; +L_0x55a5df8dda80 .functor AND 1, L_0x55a5df885d80, L_0x55a5df8dd630, C4<1>, C4<1>; +L_0x55a5df8ddc30 .functor AND 1, L_0x55a5df885d80, L_0x55a5df8ddb40, C4<1>, C4<1>; +L_0x55a5df8de330 .functor AND 1, L_0x55a5df885d80, L_0x55a5df8ddea0, C4<1>, C4<1>; +L_0x55a5df8de510 .functor AND 1, L_0x55a5df8cec80, L_0x55a5df8de3f0, C4<1>, C4<1>; +L_0x7fbf529c74e8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x55a5df8debe0 .functor XNOR 1, L_0x55a5df8de790, L_0x7fbf529c74e8, C4<0>, C4<0>; +L_0x55a5df8ded20 .functor AND 1, L_0x55a5df8de510, L_0x55a5df8debe0, C4<1>, C4<1>; +L_0x55a5df8df500 .functor AND 1, L_0x55a5df8cec80, L_0x55a5df8df000, C4<1>, C4<1>; +L_0x7fbf529c7578 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x55a5df8df9f0 .functor XNOR 1, L_0x55a5df8df5c0, L_0x7fbf529c7578, C4<0>, C4<0>; +L_0x55a5df8dfd10 .functor AND 1, L_0x55a5df8df500, L_0x55a5df8df9f0, C4<1>, C4<1>; +L_0x55a5df8dff10 .functor AND 1, L_0x55a5df8cec80, L_0x55a5df8dfe20, C4<1>, C4<1>; +L_0x7fbf529c7608 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x55a5df8e0600 .functor XNOR 1, L_0x55a5df8e01c0, L_0x7fbf529c7608, C4<0>, C4<0>; +L_0x55a5df8e0740 .functor AND 1, L_0x55a5df8dff10, L_0x55a5df8e0600, C4<1>, C4<1>; +L_0x55a5df8e0fe0 .functor AND 1, L_0x55a5df8e0a50, L_0x55a5df8e0b40, C4<1>, C4<1>; +L_0x55a5df8e11e0 .functor AND 1, L_0x55a5df8e0fe0, L_0x55a5df8e10f0, C4<1>, C4<1>; +L_0x55a5df8e25e0 .functor AND 1, L_0x55a5df8e1fc0, L_0x55a5df8e20e0, C4<1>, C4<1>; +L_0x55a5df8e26f0 .functor BUFZ 32, L_0x55a5df8eddf0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x55a5df8e2fd0 .functor OR 1, L_0x55a5df8e2ac0, L_0x55a5df8e29d0, C4<0>, C4<0>; +L_0x55a5df8e3da0 .functor AND 1, L_0x55a5df8e3760, L_0x55a5df8e3cb0, C4<1>, C4<1>; +L_0x55a5df8e4180 .functor AND 1, L_0x55a5df8e45a0, L_0x55a5df8e4670, C4<1>, C4<1>; +L_0x55a5df8e4c90 .functor XNOR 1, L_0x55a5df8e4a30, L_0x55a5df8e50f0, C4<0>, C4<0>; +L_0x55a5df8e62c0 .functor AND 64, L_0x55a5df8e73b0, L_0x55a5df8e74a0, C4<1111111111111111111111111111111111111111111111111111111111111111>, C4<1111111111111111111111111111111111111111111111111111111111111111>; +L_0x55a5df8e72a0 .functor OR 64, L_0x55a5df8e6f80, L_0x55a5df8e7070, C4<0000000000000000000000000000000000000000000000000000000000000000>, C4<0000000000000000000000000000000000000000000000000000000000000000>; +L_0x55a5df8e7590 .functor XOR 64, L_0x55a5df8e7d00, L_0x55a5df8e7df0, C4<0000000000000000000000000000000000000000000000000000000000000000>, C4<0000000000000000000000000000000000000000000000000000000000000000>; +L_0x55a5df8e80a0 .functor AND 64, L_0x55a5df8e7e90, L_0x55a5df8e7f80, C4<1111111111111111111111111111111111111111111111111111111111111111>, C4<1111111111111111111111111111111111111111111111111111111111111111>; +L_0x55a5df8e86b0 .functor OR 64, L_0x55a5df8e8c20, L_0x55a5df8e8d10, C4<0000000000000000000000000000000000000000000000000000000000000000>, C4<0000000000000000000000000000000000000000000000000000000000000000>; +L_0x55a5df8e89d0 .functor XOR 64, L_0x55a5df8e87c0, L_0x55a5df8e88b0, C4<0000000000000000000000000000000000000000000000000000000000000000>, C4<0000000000000000000000000000000000000000000000000000000000000000>; +L_0x55a5df8e8ed0 .functor OR 1, L_0x55a5df8e1ad0, L_0x55a5df8e25e0, C4<0>, C4<0>; +L_0x55a5df8ea040 .functor BUFZ 32, L_0x55a5df8d3040, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x55a5df8ed5f0 .functor BUFZ 32, L_0x55a5df8d3a40, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; +L_0x55a5df8ee3e0 .functor OR 1, L_0x55a5df8d4250, L_0x55a5df8d4c30, C4<0>, C4<0>; +L_0x55a5df8eefb0 .functor OR 1, L_0x55a5df8ee3e0, L_0x55a5df8e11e0, C4<0>, C4<0>; +L_0x55a5df8ef070 .functor OR 1, L_0x55a5df8eefb0, L_0x55a5df8e1ad0, C4<0>, C4<0>; +L_0x55a5df8ef130 .functor OR 1, L_0x55a5df8ef070, L_0x55a5df8e25e0, C4<0>, C4<0>; +L_0x55a5df8efed0 .functor OR 1, L_0x55a5df8ef130, L_0x55a5df8d89f0, C4<0>, C4<0>; +L_0x55a5df8f01f0 .functor OR 1, L_0x55a5df8efed0, L_0x55a5df8d9210, C4<0>, C4<0>; +L_0x55a5df8f02b0 .functor OR 1, L_0x55a5df8f01f0, L_0x55a5df8da0f0, C4<0>, C4<0>; +L_0x55a5df8f0630 .functor OR 1, L_0x55a5df8f02b0, L_0x55a5df8da3c0, C4<0>, C4<0>; +L_0x55a5df8f06f0 .functor OR 1, L_0x55a5df8f0630, L_0x55a5df8d9bf0, C4<0>, C4<0>; +L_0x55a5df8f0a80 .functor OR 1, L_0x55a5df8f06f0, L_0x55a5df8db600, C4<0>, C4<0>; +L_0x55a5df8f0b40 .functor OR 1, L_0x55a5df8f0a80, L_0x55a5df8dabb0, C4<0>, C4<0>; +L_0x55a5df8f0f70 .functor OR 1, L_0x55a5df8f0b40, L_0x55a5df8dca00, C4<0>, C4<0>; +L_0x55a5df8f0fe0 .functor OR 1, L_0x55a5df8f0f70, L_0x55a5df8dd380, C4<0>, C4<0>; +L_0x55a5df8f1390 .functor OR 1, L_0x55a5df8f0fe0, L_0x55a5df8dbf30, C4<0>, C4<0>; +L_0x55a5df8f14e0 .functor OR 1, L_0x55a5df8f1390, L_0x55a5df8d62f0, C4<0>, C4<0>; +L_0x55a5df8f18a0 .functor OR 1, L_0x55a5df8f14e0, L_0x55a5df8d64f0, C4<0>, C4<0>; +L_0x55a5df8f19b0 .functor OR 1, L_0x55a5df8f18a0, L_0x55a5df8d6b20, C4<0>, C4<0>; +L_0x55a5df8f1dd0 .functor OR 1, L_0x55a5df8f19b0, L_0x55a5df8d6fc0, C4<0>, C4<0>; +L_0x55a5df8f1ee0 .functor OR 1, L_0x55a5df8f1dd0, L_0x55a5df8d7160, C4<0>, C4<0>; +L_0x55a5df8f2310 .functor OR 1, L_0x55a5df8f1ee0, L_0x55a5df8de330, C4<0>, C4<0>; +L_0x55a5df8f23d0 .functor OR 1, L_0x55a5df8f2310, L_0x55a5df8ddc30, C4<0>, C4<0>; +L_0x55a5df8f27c0 .functor OR 1, L_0x55a5df8f23d0, L_0x55a5df8dda80, C4<0>, C4<0>; +L_0x55a5df8f2880 .functor OR 1, L_0x55a5df8f27c0, L_0x55a5df8e0740, C4<0>, C4<0>; +L_0x55a5df8f2c80 .functor OR 1, L_0x55a5df8f2880, L_0x55a5df8dfd10, C4<0>, C4<0>; +L_0x55a5df8f2d40 .functor OR 1, L_0x55a5df8f2c80, L_0x55a5df8ded20, C4<0>, C4<0>; +L_0x55a5df8f3150 .functor OR 1, L_0x55a5df8f2d40, L_0x55a5df8e29d0, C4<0>, C4<0>; +L_0x55a5df8f32a0 .functor OR 1, L_0x55a5df8f3150, L_0x55a5df8e1500, C4<0>, C4<0>; +L_0x55a5df8f3670 .functor OR 1, L_0x55a5df8f32a0, L_0x55a5df8e19b0, C4<0>, C4<0>; +L_0x55a5df8f3730 .functor AND 1, L_0x55a5df8eebe0, L_0x55a5df8f3670, C4<1>, C4<1>; +v0x55a5df8846b0_0 .net "Bimm", 31 0, L_0x55a5df8d1450; 1 drivers +v0x55a5df885ea0_0 .net "Iimm", 31 0, L_0x55a5df8cfb70; 1 drivers +v0x55a5df89f8b0_0 .net "Jimm", 31 0, L_0x55a5df8d23e0; 1 drivers +v0x55a5df89f970_0 .net "SErs1_val", 63 0, L_0x55a5df8e57e0; 1 drivers +v0x55a5df89fa50_0 .net "Simm", 31 0, L_0x55a5df8d03a0; 1 drivers +v0x55a5df89fb80_0 .net "Uimm", 31 0, L_0x55a5df8d18e0; 1 drivers +v0x55a5df89fc60_0 .net *"_ivl_1", 29 0, L_0x55a5df8adb40; 1 drivers +v0x55a5df89fd40_0 .net *"_ivl_10", 31 0, L_0x55a5df8adf40; 1 drivers +v0x55a5df89fe20_0 .net *"_ivl_100", 0 0, L_0x55a5df8ceee0; 1 drivers +v0x55a5df89fee0_0 .net *"_ivl_1001", 0 0, L_0x55a5df8f32a0; 1 drivers +v0x55a5df89ffa0_0 .net *"_ivl_1003", 0 0, L_0x55a5df8f3670; 1 drivers +L_0x7fbf529c64e0 .functor BUFT 1, C4<0100111>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a0060_0 .net/2u *"_ivl_102", 6 0, L_0x7fbf529c64e0; 1 drivers +v0x55a5df8a0140_0 .net *"_ivl_104", 0 0, L_0x55a5df8cf0c0; 1 drivers +L_0x7fbf529c6528 .functor BUFT 1, C4<1100011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a0200_0 .net/2u *"_ivl_108", 6 0, L_0x7fbf529c6528; 1 drivers +L_0x7fbf529c6570 .functor BUFT 1, C4<1101111>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a02e0_0 .net/2u *"_ivl_112", 6 0, L_0x7fbf529c6570; 1 drivers +v0x55a5df8a03c0_0 .net *"_ivl_117", 0 0, L_0x55a5df8cf5d0; 1 drivers +v0x55a5df8a04a0_0 .net *"_ivl_118", 19 0, L_0x55a5df8cf780; 1 drivers +v0x55a5df8a0580_0 .net *"_ivl_121", 11 0, L_0x55a5df8cf9b0; 1 drivers +v0x55a5df8a0660_0 .net *"_ivl_125", 0 0, L_0x55a5df8cfc90; 1 drivers +v0x55a5df8a0740_0 .net *"_ivl_126", 19 0, L_0x55a5df8cfa50; 1 drivers +v0x55a5df8a0820_0 .net *"_ivl_129", 6 0, L_0x55a5df8d0120; 1 drivers +v0x55a5df8a0900_0 .net *"_ivl_13", 29 0, L_0x55a5df8ae010; 1 drivers +v0x55a5df8a09e0_0 .net *"_ivl_131", 4 0, L_0x55a5df8d0300; 1 drivers +v0x55a5df8a0ac0_0 .net *"_ivl_135", 0 0, L_0x55a5df8d0690; 1 drivers +v0x55a5df8a0ba0_0 .net *"_ivl_136", 18 0, L_0x55a5df8d0730; 1 drivers +v0x55a5df8a0c80_0 .net *"_ivl_139", 0 0, L_0x55a5df8d0c40; 1 drivers +L_0x7fbf529c60a8 .functor BUFT 1, C4<00000000000000000000000000010011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a0d60_0 .net/2u *"_ivl_14", 31 0, L_0x7fbf529c60a8; 1 drivers +v0x55a5df8a0e40_0 .net *"_ivl_141", 0 0, L_0x55a5df8d0ce0; 1 drivers +v0x55a5df8a0f20_0 .net *"_ivl_143", 5 0, L_0x55a5df8d1100; 1 drivers +v0x55a5df8a1000_0 .net *"_ivl_145", 3 0, L_0x55a5df8d11d0; 1 drivers +L_0x7fbf529c65b8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a10e0_0 .net/2u *"_ivl_146", 0 0, L_0x7fbf529c65b8; 1 drivers +v0x55a5df8a11c0_0 .net *"_ivl_151", 19 0, L_0x55a5df8d16b0; 1 drivers +L_0x7fbf529c6600 .functor BUFT 1, C4<000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a12a0_0 .net/2u *"_ivl_152", 11 0, L_0x7fbf529c6600; 1 drivers +v0x55a5df8a1590_0 .net *"_ivl_157", 0 0, L_0x55a5df8d1a20; 1 drivers +v0x55a5df8a1670_0 .net *"_ivl_158", 10 0, L_0x55a5df8d1c60; 1 drivers +v0x55a5df8a1750_0 .net *"_ivl_161", 0 0, L_0x55a5df8d1dc0; 1 drivers +v0x55a5df8a1830_0 .net *"_ivl_163", 7 0, L_0x55a5df8d2010; 1 drivers +v0x55a5df8a1910_0 .net *"_ivl_165", 0 0, L_0x55a5df8d20b0; 1 drivers +v0x55a5df8a19f0_0 .net *"_ivl_167", 9 0, L_0x55a5df8d2310; 1 drivers +L_0x7fbf529c6648 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a1ad0_0 .net/2u *"_ivl_168", 0 0, L_0x7fbf529c6648; 1 drivers +v0x55a5df8a1bb0_0 .net *"_ivl_172", 31 0, L_0x55a5df8d2810; 1 drivers +L_0x7fbf529c6690 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a1c90_0 .net *"_ivl_175", 26 0, L_0x7fbf529c6690; 1 drivers +L_0x7fbf529c66d8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a1d70_0 .net/2u *"_ivl_176", 31 0, L_0x7fbf529c66d8; 1 drivers +v0x55a5df8a1e50_0 .net *"_ivl_178", 0 0, L_0x55a5df8d2950; 1 drivers +v0x55a5df8a1f10_0 .net *"_ivl_180", 31 0, L_0x55a5df8d2c70; 1 drivers +v0x55a5df8a1ff0_0 .net *"_ivl_182", 6 0, L_0x55a5df8d2d10; 1 drivers +L_0x7fbf529c6720 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a20d0_0 .net *"_ivl_185", 1 0, L_0x7fbf529c6720; 1 drivers +L_0x7fbf529c6768 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a21b0_0 .net/2u *"_ivl_186", 31 0, L_0x7fbf529c6768; 1 drivers +v0x55a5df8a2290_0 .net *"_ivl_190", 31 0, L_0x55a5df8d31d0; 1 drivers +L_0x7fbf529c67b0 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a2370_0 .net *"_ivl_193", 26 0, L_0x7fbf529c67b0; 1 drivers +L_0x7fbf529c67f8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a2450_0 .net/2u *"_ivl_194", 31 0, L_0x7fbf529c67f8; 1 drivers +v0x55a5df8a2530_0 .net *"_ivl_196", 0 0, L_0x55a5df8d3510; 1 drivers +v0x55a5df8a25f0_0 .net *"_ivl_198", 31 0, L_0x55a5df8d3650; 1 drivers +v0x55a5df8a26d0_0 .net *"_ivl_2", 31 0, L_0x55a5df8adc60; 1 drivers +v0x55a5df8a27b0_0 .net *"_ivl_20", 31 0, L_0x55a5df8ae3f0; 1 drivers +v0x55a5df8a2890_0 .net *"_ivl_200", 6 0, L_0x55a5df8d3900; 1 drivers +L_0x7fbf529c6840 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a2970_0 .net *"_ivl_203", 1 0, L_0x7fbf529c6840; 1 drivers +L_0x7fbf529c6888 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a2a50_0 .net/2u *"_ivl_204", 31 0, L_0x7fbf529c6888; 1 drivers +L_0x7fbf529c68d0 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a2b30_0 .net/2u *"_ivl_208", 6 0, L_0x7fbf529c68d0; 1 drivers +v0x55a5df8a2c10_0 .net *"_ivl_210", 0 0, L_0x55a5df8d3df0; 1 drivers +L_0x7fbf529c6918 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a2cd0_0 .net/2u *"_ivl_212", 2 0, L_0x7fbf529c6918; 1 drivers +v0x55a5df8a2db0_0 .net *"_ivl_214", 0 0, L_0x55a5df8d3ee0; 1 drivers +L_0x7fbf529c6960 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a2e70_0 .net/2u *"_ivl_218", 6 0, L_0x7fbf529c6960; 1 drivers +v0x55a5df8a2f50_0 .net *"_ivl_220", 0 0, L_0x55a5df8d43b0; 1 drivers +L_0x7fbf529c69a8 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a3010_0 .net/2u *"_ivl_222", 2 0, L_0x7fbf529c69a8; 1 drivers +v0x55a5df8a30f0_0 .net *"_ivl_224", 0 0, L_0x55a5df8d46b0; 1 drivers +v0x55a5df8a31b0_0 .net *"_ivl_227", 0 0, L_0x55a5df8d49e0; 1 drivers +L_0x7fbf529c69f0 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a3270_0 .net/2u *"_ivl_228", 6 0, L_0x7fbf529c69f0; 1 drivers +L_0x7fbf529c60f0 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a3350_0 .net *"_ivl_23", 26 0, L_0x7fbf529c60f0; 1 drivers +v0x55a5df8a3430_0 .net *"_ivl_230", 0 0, L_0x55a5df8d4af0; 1 drivers +L_0x7fbf529c6a38 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a34f0_0 .net/2u *"_ivl_234", 2 0, L_0x7fbf529c6a38; 1 drivers +v0x55a5df8a35d0_0 .net *"_ivl_236", 0 0, L_0x55a5df8d4da0; 1 drivers +L_0x7fbf529c6138 .functor BUFT 1, C4<00000000000000000000000000100000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a3690_0 .net/2u *"_ivl_24", 31 0, L_0x7fbf529c6138; 1 drivers +L_0x7fbf529c6a80 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a3770_0 .net/2u *"_ivl_240", 2 0, L_0x7fbf529c6a80; 1 drivers +v0x55a5df8a3850_0 .net *"_ivl_242", 0 0, L_0x55a5df8d5150; 1 drivers +L_0x7fbf529c6ac8 .functor BUFT 1, C4<100>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a3910_0 .net/2u *"_ivl_246", 2 0, L_0x7fbf529c6ac8; 1 drivers +v0x55a5df8a39f0_0 .net *"_ivl_248", 0 0, L_0x55a5df8d5370; 1 drivers +L_0x7fbf529c6b10 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a3ab0_0 .net/2u *"_ivl_252", 2 0, L_0x7fbf529c6b10; 1 drivers +v0x55a5df8a3b90_0 .net *"_ivl_254", 0 0, L_0x55a5df8d5730; 1 drivers +L_0x7fbf529c6b58 .functor BUFT 1, C4<110>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a3c50_0 .net/2u *"_ivl_258", 2 0, L_0x7fbf529c6b58; 1 drivers +v0x55a5df8a3d30_0 .net *"_ivl_26", 0 0, L_0x55a5df8ae5d0; 1 drivers +v0x55a5df8a3df0_0 .net *"_ivl_260", 0 0, L_0x55a5df8d5960; 1 drivers +L_0x7fbf529c6ba0 .functor BUFT 1, C4<111>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a3eb0_0 .net/2u *"_ivl_264", 2 0, L_0x7fbf529c6ba0; 1 drivers +v0x55a5df8a3f90_0 .net *"_ivl_266", 0 0, L_0x55a5df8d5d10; 1 drivers +L_0x7fbf529c6be8 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a4050_0 .net/2u *"_ivl_270", 2 0, L_0x7fbf529c6be8; 1 drivers +v0x55a5df8a4130_0 .net *"_ivl_272", 0 0, L_0x55a5df8d5f50; 1 drivers +L_0x7fbf529c6c30 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a41f0_0 .net/2u *"_ivl_276", 2 0, L_0x7fbf529c6c30; 1 drivers +v0x55a5df8a42d0_0 .net *"_ivl_278", 0 0, L_0x55a5df8d6400; 1 drivers +v0x55a5df8a4390_0 .net *"_ivl_28", 31 0, L_0x55a5df8cd350; 1 drivers +L_0x7fbf529c6c78 .functor BUFT 1, C4<0000011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a4470_0 .net/2u *"_ivl_282", 6 0, L_0x7fbf529c6c78; 1 drivers +v0x55a5df8a4550_0 .net *"_ivl_284", 0 0, L_0x55a5df8d6650; 1 drivers +L_0x7fbf529c6cc0 .functor BUFT 1, C4<010>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a4610_0 .net/2u *"_ivl_286", 2 0, L_0x7fbf529c6cc0; 1 drivers +v0x55a5df8a46f0_0 .net *"_ivl_288", 0 0, L_0x55a5df8d6a00; 1 drivers +L_0x7fbf529c6d08 .functor BUFT 1, C4<100>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a47b0_0 .net/2u *"_ivl_292", 2 0, L_0x7fbf529c6d08; 1 drivers +v0x55a5df8a4890_0 .net *"_ivl_294", 0 0, L_0x55a5df8d6c30; 1 drivers +L_0x7fbf529c6d50 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a4950_0 .net/2u *"_ivl_298", 2 0, L_0x7fbf529c6d50; 1 drivers +v0x55a5df8a4a30_0 .net *"_ivl_30", 6 0, L_0x55a5df8cd450; 1 drivers +v0x55a5df8a4b10_0 .net *"_ivl_300", 0 0, L_0x55a5df8d65b0; 1 drivers +L_0x7fbf529c6d98 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a4bd0_0 .net/2u *"_ivl_304", 2 0, L_0x7fbf529c6d98; 1 drivers +v0x55a5df8a4cb0_0 .net *"_ivl_306", 0 0, L_0x55a5df8d7220; 1 drivers +L_0x7fbf529c6de0 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a4d70_0 .net/2u *"_ivl_310", 2 0, L_0x7fbf529c6de0; 1 drivers +v0x55a5df8a4e50_0 .net *"_ivl_312", 0 0, L_0x55a5df8d77c0; 1 drivers +L_0x7fbf529c6e28 .functor BUFT 1, C4<0100011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a4f10_0 .net/2u *"_ivl_316", 6 0, L_0x7fbf529c6e28; 1 drivers +v0x55a5df8a4ff0_0 .net *"_ivl_318", 0 0, L_0x55a5df8d7b80; 1 drivers +L_0x7fbf529c6e70 .functor BUFT 1, C4<010>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a50b0_0 .net/2u *"_ivl_320", 2 0, L_0x7fbf529c6e70; 1 drivers +v0x55a5df8a5190_0 .net *"_ivl_322", 0 0, L_0x55a5df8d7f60; 1 drivers +L_0x7fbf529c6eb8 .functor BUFT 1, C4<010>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a5250_0 .net/2u *"_ivl_326", 2 0, L_0x7fbf529c6eb8; 1 drivers +v0x55a5df8a5330_0 .net *"_ivl_328", 0 0, L_0x55a5df8d8260; 1 drivers +L_0x7fbf529c6180 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a53f0_0 .net *"_ivl_33", 1 0, L_0x7fbf529c6180; 1 drivers +v0x55a5df8a54d0_0 .net *"_ivl_331", 0 0, L_0x55a5df8d8620; 1 drivers +v0x55a5df8a5590_0 .net *"_ivl_333", 0 0, L_0x55a5df8d8730; 1 drivers +v0x55a5df8a5670_0 .net/2u *"_ivl_334", 0 0, L_0x7fbf529c6f00; 1 drivers +v0x55a5df8a5750_0 .net *"_ivl_336", 0 0, L_0x55a5df8d87d0; 1 drivers +L_0x7fbf529c61c8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a5810_0 .net/2u *"_ivl_34", 31 0, L_0x7fbf529c61c8; 1 drivers +L_0x7fbf529c6f48 .functor BUFT 1, C4<011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a58f0_0 .net/2u *"_ivl_340", 2 0, L_0x7fbf529c6f48; 1 drivers +v0x55a5df8a59d0_0 .net *"_ivl_342", 0 0, L_0x55a5df8d8b00; 1 drivers +v0x55a5df8a5a90_0 .net *"_ivl_345", 0 0, L_0x55a5df8d8ed0; 1 drivers +v0x55a5df8a5b50_0 .net *"_ivl_347", 0 0, L_0x55a5df8d9080; 1 drivers +v0x55a5df8a5c30_0 .net/2u *"_ivl_348", 0 0, L_0x7fbf529c6f90; 1 drivers +v0x55a5df8a5d10_0 .net *"_ivl_350", 0 0, L_0x55a5df8d9120; 1 drivers +L_0x7fbf529c6fd8 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a5dd0_0 .net/2u *"_ivl_354", 2 0, L_0x7fbf529c6fd8; 1 drivers +v0x55a5df8a5eb0_0 .net *"_ivl_356", 0 0, L_0x55a5df8d9420; 1 drivers +v0x55a5df8a5f70_0 .net *"_ivl_359", 0 0, L_0x55a5df8d9800; 1 drivers +v0x55a5df8a6030_0 .net *"_ivl_361", 0 0, L_0x55a5df8d9900; 1 drivers +v0x55a5df8a6110_0 .net/2u *"_ivl_362", 0 0, L_0x7fbf529c7020; 1 drivers +v0x55a5df8a61f0_0 .net *"_ivl_364", 0 0, L_0x55a5df8d99a0; 1 drivers +L_0x7fbf529c7068 .functor BUFT 1, C4<010>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a62b0_0 .net/2u *"_ivl_368", 2 0, L_0x7fbf529c7068; 1 drivers +v0x55a5df8a6390_0 .net *"_ivl_370", 0 0, L_0x55a5df8d9d00; 1 drivers +L_0x7fbf529c70b0 .functor BUFT 1, C4<011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a6450_0 .net/2u *"_ivl_374", 2 0, L_0x7fbf529c70b0; 1 drivers +v0x55a5df8a6d40_0 .net *"_ivl_376", 0 0, L_0x55a5df8da2d0; 1 drivers +L_0x7fbf529c70f8 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a6e00_0 .net/2u *"_ivl_380", 2 0, L_0x7fbf529c70f8; 1 drivers +v0x55a5df8a6ee0_0 .net *"_ivl_382", 0 0, L_0x55a5df8da480; 1 drivers +v0x55a5df8a6fa0_0 .net *"_ivl_385", 0 0, L_0x55a5df8da8b0; 1 drivers +v0x55a5df8a7060_0 .net *"_ivl_387", 0 0, L_0x55a5df8da1b0; 1 drivers +v0x55a5df8a7140_0 .net/2u *"_ivl_388", 0 0, L_0x7fbf529c7140; 1 drivers +v0x55a5df8a7220_0 .net *"_ivl_390", 0 0, L_0x55a5df8daaa0; 1 drivers +L_0x7fbf529c7188 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a72e0_0 .net/2u *"_ivl_394", 2 0, L_0x7fbf529c7188; 1 drivers +v0x55a5df8a73c0_0 .net *"_ivl_396", 0 0, L_0x55a5df8dae00; 1 drivers +v0x55a5df8a7480_0 .net *"_ivl_399", 0 0, L_0x55a5df8db210; 1 drivers +v0x55a5df8a7540_0 .net *"_ivl_401", 0 0, L_0x55a5df8db2d0; 1 drivers +v0x55a5df8a7620_0 .net/2u *"_ivl_402", 0 0, L_0x7fbf529c71d0; 1 drivers +v0x55a5df8a7700_0 .net *"_ivl_404", 0 0, L_0x55a5df8db370; 1 drivers +L_0x7fbf529c7218 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a77c0_0 .net/2u *"_ivl_408", 2 0, L_0x7fbf529c7218; 1 drivers +v0x55a5df8a78a0_0 .net *"_ivl_410", 0 0, L_0x55a5df8db710; 1 drivers +v0x55a5df8a7960_0 .net *"_ivl_413", 0 0, L_0x55a5df8dbb30; 1 drivers +v0x55a5df8a7a20_0 .net *"_ivl_415", 0 0, L_0x55a5df8dbd50; 1 drivers +v0x55a5df8a7b00_0 .net/2u *"_ivl_416", 0 0, L_0x7fbf529c7260; 1 drivers +v0x55a5df8a7be0_0 .net *"_ivl_418", 0 0, L_0x55a5df8dbdf0; 1 drivers +L_0x7fbf529c72a8 .functor BUFT 1, C4<001>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a7ca0_0 .net/2u *"_ivl_422", 2 0, L_0x7fbf529c72a8; 1 drivers +v0x55a5df8a7d80_0 .net *"_ivl_424", 0 0, L_0x55a5df8dc1b0; 1 drivers +v0x55a5df8a7e40_0 .net *"_ivl_427", 0 0, L_0x55a5df8dc5e0; 1 drivers +v0x55a5df8a7f00_0 .net *"_ivl_429", 0 0, L_0x55a5df8dc6a0; 1 drivers +v0x55a5df8a7fe0_0 .net/2u *"_ivl_430", 0 0, L_0x7fbf529c72f0; 1 drivers +v0x55a5df8a80c0_0 .net *"_ivl_432", 0 0, L_0x55a5df8dc740; 1 drivers +L_0x7fbf529c7338 .functor BUFT 1, C4<101>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a8180_0 .net/2u *"_ivl_436", 2 0, L_0x7fbf529c7338; 1 drivers +v0x55a5df8a8260_0 .net *"_ivl_438", 0 0, L_0x55a5df8dcb10; 1 drivers +v0x55a5df8a8320_0 .net *"_ivl_441", 0 0, L_0x55a5df8dcf50; 1 drivers +v0x55a5df8a83e0_0 .net *"_ivl_443", 0 0, L_0x55a5df8dd1a0; 1 drivers +v0x55a5df8a84c0_0 .net/2u *"_ivl_444", 0 0, L_0x7fbf529c7380; 1 drivers +v0x55a5df8a85a0_0 .net *"_ivl_446", 0 0, L_0x55a5df8dd240; 1 drivers +L_0x7fbf529c73c8 .functor BUFT 1, C4<111>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a8660_0 .net/2u *"_ivl_450", 2 0, L_0x7fbf529c73c8; 1 drivers +v0x55a5df8a8740_0 .net *"_ivl_452", 0 0, L_0x55a5df8dd630; 1 drivers +L_0x7fbf529c7410 .functor BUFT 1, C4<110>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a8800_0 .net/2u *"_ivl_456", 2 0, L_0x7fbf529c7410; 1 drivers +v0x55a5df8a88e0_0 .net *"_ivl_458", 0 0, L_0x55a5df8ddb40; 1 drivers +L_0x7fbf529c7458 .functor BUFT 1, C4<100>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a89a0_0 .net/2u *"_ivl_462", 2 0, L_0x7fbf529c7458; 1 drivers +v0x55a5df8a8a80_0 .net *"_ivl_464", 0 0, L_0x55a5df8ddea0; 1 drivers +L_0x7fbf529c74a0 .functor BUFT 1, C4<111>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a8b40_0 .net/2u *"_ivl_468", 2 0, L_0x7fbf529c74a0; 1 drivers +v0x55a5df8a8c20_0 .net *"_ivl_470", 0 0, L_0x55a5df8de3f0; 1 drivers +v0x55a5df8a8ce0_0 .net *"_ivl_473", 0 0, L_0x55a5df8de510; 1 drivers +v0x55a5df8a8da0_0 .net *"_ivl_475", 0 0, L_0x55a5df8de790; 1 drivers +v0x55a5df8a8e80_0 .net/2u *"_ivl_476", 0 0, L_0x7fbf529c74e8; 1 drivers +v0x55a5df8a8f60_0 .net *"_ivl_478", 0 0, L_0x55a5df8debe0; 1 drivers +L_0x7fbf529c7530 .functor BUFT 1, C4<110>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a9020_0 .net/2u *"_ivl_482", 2 0, L_0x7fbf529c7530; 1 drivers +v0x55a5df8a9100_0 .net *"_ivl_484", 0 0, L_0x55a5df8df000; 1 drivers +v0x55a5df8a91c0_0 .net *"_ivl_487", 0 0, L_0x55a5df8df500; 1 drivers +v0x55a5df8a9280_0 .net *"_ivl_489", 0 0, L_0x55a5df8df5c0; 1 drivers +v0x55a5df8a9360_0 .net/2u *"_ivl_490", 0 0, L_0x7fbf529c7578; 1 drivers +v0x55a5df8a9440_0 .net *"_ivl_492", 0 0, L_0x55a5df8df9f0; 1 drivers +L_0x7fbf529c75c0 .functor BUFT 1, C4<100>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a9500_0 .net/2u *"_ivl_496", 2 0, L_0x7fbf529c75c0; 1 drivers +v0x55a5df8a95e0_0 .net *"_ivl_498", 0 0, L_0x55a5df8dfe20; 1 drivers +L_0x7fbf529c6018 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a96a0_0 .net *"_ivl_5", 1 0, L_0x7fbf529c6018; 1 drivers +L_0x7fbf529c6210 .functor BUFT 1, C4<0110111>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a9780_0 .net/2u *"_ivl_50", 6 0, L_0x7fbf529c6210; 1 drivers +v0x55a5df8a9860_0 .net *"_ivl_501", 0 0, L_0x55a5df8dff10; 1 drivers +v0x55a5df8a9920_0 .net *"_ivl_503", 0 0, L_0x55a5df8e01c0; 1 drivers +v0x55a5df8a9a00_0 .net/2u *"_ivl_504", 0 0, L_0x7fbf529c7608; 1 drivers +v0x55a5df8a9ae0_0 .net *"_ivl_506", 0 0, L_0x55a5df8e0600; 1 drivers +L_0x7fbf529c7650 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a9ba0_0 .net/2u *"_ivl_510", 6 0, L_0x7fbf529c7650; 1 drivers +v0x55a5df8a9c80_0 .net *"_ivl_512", 0 0, L_0x55a5df8e0a50; 1 drivers +L_0x7fbf529c7698 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a9d40_0 .net/2u *"_ivl_514", 2 0, L_0x7fbf529c7698; 1 drivers +v0x55a5df8a9e20_0 .net *"_ivl_516", 0 0, L_0x55a5df8e0b40; 1 drivers +v0x55a5df8a9ee0_0 .net *"_ivl_519", 0 0, L_0x55a5df8e0fe0; 1 drivers +v0x55a5df8a9fa0_0 .net *"_ivl_52", 0 0, L_0x55a5df8cdd40; 1 drivers +L_0x7fbf529c76e0 .functor BUFT 1, C4<0100000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aa060_0 .net/2u *"_ivl_520", 6 0, L_0x7fbf529c76e0; 1 drivers +v0x55a5df8aa140_0 .net *"_ivl_522", 0 0, L_0x55a5df8e10f0; 1 drivers +L_0x7fbf529c7728 .functor BUFT 1, C4<0110111>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aa200_0 .net/2u *"_ivl_526", 6 0, L_0x7fbf529c7728; 1 drivers +L_0x7fbf529c7770 .functor BUFT 1, C4<0010111>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aa2e0_0 .net/2u *"_ivl_530", 6 0, L_0x7fbf529c7770; 1 drivers +L_0x7fbf529c77b8 .functor BUFT 1, C4<1101111>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aa3c0_0 .net/2u *"_ivl_534", 6 0, L_0x7fbf529c77b8; 1 drivers +L_0x7fbf529c7800 .functor BUFT 1, C4<1100111>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aa4a0_0 .net/2u *"_ivl_538", 6 0, L_0x7fbf529c7800; 1 drivers +L_0x7fbf529c6258 .functor BUFT 1, C4<0010111>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aa580_0 .net/2u *"_ivl_54", 6 0, L_0x7fbf529c6258; 1 drivers +v0x55a5df8aa660_0 .net *"_ivl_540", 0 0, L_0x55a5df8e1fc0; 1 drivers +L_0x7fbf529c7848 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aa720_0 .net/2u *"_ivl_542", 2 0, L_0x7fbf529c7848; 1 drivers +v0x55a5df8aa800_0 .net *"_ivl_544", 0 0, L_0x55a5df8e20e0; 1 drivers +L_0x7fbf529c7890 .functor BUFT 1, C4<0000011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aa8c0_0 .net/2u *"_ivl_550", 6 0, L_0x7fbf529c7890; 1 drivers +L_0x7fbf529c78d8 .functor BUFT 1, C4<0100011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aa9a0_0 .net/2u *"_ivl_554", 6 0, L_0x7fbf529c78d8; 1 drivers +v0x55a5df8aaa80_0 .net *"_ivl_56", 0 0, L_0x55a5df8cde60; 1 drivers +L_0x7fbf529c7920 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aab40_0 .net/2u *"_ivl_560", 30 0, L_0x7fbf529c7920; 1 drivers +v0x55a5df8aac20_0 .net *"_ivl_562", 0 0, L_0x55a5df8e30e0; 1 drivers +v0x55a5df8aace0_0 .net *"_ivl_567", 0 0, L_0x55a5df8e3760; 1 drivers +v0x55a5df8aadc0_0 .net *"_ivl_569", 0 0, L_0x55a5df8e3800; 1 drivers +v0x55a5df8aaea0_0 .net *"_ivl_571", 0 0, L_0x55a5df8e3cb0; 1 drivers +v0x55a5df8aaf60_0 .net *"_ivl_573", 0 0, L_0x55a5df8e3da0; 1 drivers +L_0x7fbf529c7968 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>; +v0x55a5df8ab020_0 .net/2u *"_ivl_574", 31 0, L_0x7fbf529c7968; 1 drivers +v0x55a5df8ab100_0 .net *"_ivl_577", 0 0, L_0x55a5df8e40e0; 1 drivers +v0x55a5df8ab1e0_0 .net *"_ivl_579", 0 0, L_0x55a5df8e45a0; 1 drivers +v0x55a5df8ab2a0_0 .net *"_ivl_581", 0 0, L_0x55a5df8e4670; 1 drivers +v0x55a5df8ab380_0 .net *"_ivl_583", 0 0, L_0x55a5df8e4180; 1 drivers +L_0x7fbf529c79b0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8ab440_0 .net/2u *"_ivl_584", 31 0, L_0x7fbf529c79b0; 1 drivers +v0x55a5df8ab520_0 .net *"_ivl_586", 0 0, L_0x55a5df8e4270; 1 drivers +v0x55a5df8ab5e0_0 .net *"_ivl_588", 31 0, L_0x55a5df8e4310; 1 drivers +L_0x7fbf529c79f8 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8ab6c0_0 .net *"_ivl_591", 30 0, L_0x7fbf529c79f8; 1 drivers +v0x55a5df8ab7a0_0 .net *"_ivl_592", 31 0, L_0x55a5df8e4480; 1 drivers +L_0x7fbf529c7a40 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8ab880_0 .net/2u *"_ivl_596", 30 0, L_0x7fbf529c7a40; 1 drivers +v0x55a5df8ab960_0 .net *"_ivl_598", 62 0, L_0x55a5df8e4800; 1 drivers +L_0x7fbf529c6060 .functor BUFT 1, C4<00000000000000000000000001000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aba40_0 .net/2u *"_ivl_6", 31 0, L_0x7fbf529c6060; 1 drivers +L_0x7fbf529c62a0 .functor BUFT 1, C4<0000011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8abb20_0 .net/2u *"_ivl_60", 6 0, L_0x7fbf529c62a0; 1 drivers +v0x55a5df8abc00_0 .net *"_ivl_603", 0 0, L_0x55a5df8e4a30; 1 drivers +v0x55a5df8abce0_0 .net *"_ivl_605", 0 0, L_0x55a5df8e50f0; 1 drivers +v0x55a5df8abdc0_0 .net *"_ivl_606", 0 0, L_0x55a5df8e4c90; 1 drivers +L_0x7fbf529c7a88 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8abe80_0 .net/2u *"_ivl_608", 30 0, L_0x7fbf529c7a88; 1 drivers +v0x55a5df8abf60_0 .net *"_ivl_611", 0 0, L_0x55a5df8e4fe0; 1 drivers +v0x55a5df8ac040_0 .net *"_ivl_612", 31 0, L_0x55a5df8e5600; 1 drivers +v0x55a5df8ac120_0 .net *"_ivl_617", 0 0, L_0x55a5df8e5320; 1 drivers +v0x55a5df8ac200_0 .net *"_ivl_618", 31 0, L_0x55a5df8e53c0; 1 drivers +v0x55a5df8ac2e0_0 .net *"_ivl_62", 0 0, L_0x55a5df8cdc70; 1 drivers +v0x55a5df8ac3a0_0 .net *"_ivl_620", 0 0, L_0x55a5df8e5b80; 1 drivers +v0x55a5df8ac460_0 .net *"_ivl_622", 32 0, L_0x55a5df8e56f0; 1 drivers +L_0x7fbf529c7ad0 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8ac540_0 .net *"_ivl_627", 30 0, L_0x7fbf529c7ad0; 1 drivers +v0x55a5df8ac620_0 .net *"_ivl_629", 4 0, L_0x55a5df8e5920; 1 drivers +v0x55a5df8ac700_0 .net *"_ivl_630", 63 0, L_0x55a5df8e59c0; 1 drivers +v0x55a5df8ac7e0_0 .net *"_ivl_635", 4 0, L_0x55a5df8e6180; 1 drivers +v0x55a5df8ac8c0_0 .net *"_ivl_636", 63 0, L_0x55a5df8e5c20; 1 drivers +L_0x7fbf529c62e8 .functor BUFT 1, C4<0000111>, C4<0>, C4<0>, C4<0>; +v0x55a5df8ac9a0_0 .net/2u *"_ivl_64", 6 0, L_0x7fbf529c62e8; 1 drivers +L_0x7fbf529c7b18 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aca80_0 .net/2u *"_ivl_640", 30 0, L_0x7fbf529c7b18; 1 drivers +v0x55a5df8acb60_0 .net *"_ivl_642", 0 0, L_0x55a5df8e5e00; 1 drivers +v0x55a5df8acc20_0 .net *"_ivl_646", 63 0, L_0x55a5df8e5f90; 1 drivers +L_0x7fbf529c7b60 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8acd00_0 .net *"_ivl_649", 31 0, L_0x7fbf529c7b60; 1 drivers +v0x55a5df8acde0_0 .net *"_ivl_650", 63 0, L_0x55a5df8e6710; 1 drivers +L_0x7fbf529c7ba8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8acec0_0 .net *"_ivl_653", 31 0, L_0x7fbf529c7ba8; 1 drivers +v0x55a5df8acfa0_0 .net *"_ivl_654", 63 0, L_0x55a5df8e6220; 1 drivers +v0x55a5df8ad080_0 .net *"_ivl_656", 63 0, L_0x55a5df8e63d0; 1 drivers +L_0x7fbf529c7bf0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8ad160_0 .net *"_ivl_659", 31 0, L_0x7fbf529c7bf0; 1 drivers +v0x55a5df8ad240_0 .net *"_ivl_66", 0 0, L_0x55a5df8ce050; 1 drivers +v0x55a5df8ad300_0 .net *"_ivl_660", 63 0, L_0x55a5df8e64c0; 1 drivers +L_0x7fbf529c7c38 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8ad3e0_0 .net *"_ivl_663", 31 0, L_0x7fbf529c7c38; 1 drivers +v0x55a5df8ad4c0_0 .net *"_ivl_664", 63 0, L_0x55a5df8e65b0; 1 drivers +v0x55a5df8ad5a0_0 .net *"_ivl_666", 63 0, L_0x55a5df8e6cd0; 1 drivers +L_0x7fbf529c7c80 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8ad680_0 .net *"_ivl_669", 31 0, L_0x7fbf529c7c80; 1 drivers +v0x55a5df8a6530_0 .net *"_ivl_670", 63 0, L_0x55a5df8e6dc0; 1 drivers +L_0x7fbf529c7cc8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a6610_0 .net *"_ivl_673", 31 0, L_0x7fbf529c7cc8; 1 drivers +v0x55a5df8a66f0_0 .net *"_ivl_674", 63 0, L_0x55a5df8e6800; 1 drivers +v0x55a5df8a67d0_0 .net *"_ivl_676", 63 0, L_0x55a5df8e6940; 1 drivers +L_0x7fbf529c7d10 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a68b0_0 .net *"_ivl_679", 31 0, L_0x7fbf529c7d10; 1 drivers +v0x55a5df8a6990_0 .net *"_ivl_680", 63 0, L_0x55a5df8e6a80; 1 drivers +L_0x7fbf529c7d58 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a6a70_0 .net *"_ivl_683", 31 0, L_0x7fbf529c7d58; 1 drivers +v0x55a5df8a6b50_0 .net *"_ivl_684", 63 0, L_0x55a5df8e6b70; 1 drivers +L_0x7fbf529c7da0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8a6c30_0 .net *"_ivl_687", 31 0, L_0x7fbf529c7da0; 1 drivers +v0x55a5df8ae750_0 .net *"_ivl_688", 63 0, L_0x55a5df8e73b0; 1 drivers +v0x55a5df8ae830_0 .net *"_ivl_69", 0 0, L_0x55a5df883440; 1 drivers +L_0x7fbf529c7de8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8ae8f0_0 .net *"_ivl_691", 31 0, L_0x7fbf529c7de8; 1 drivers +v0x55a5df8ae9d0_0 .net *"_ivl_692", 63 0, L_0x55a5df8e74a0; 1 drivers +L_0x7fbf529c7e30 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aeab0_0 .net *"_ivl_695", 31 0, L_0x7fbf529c7e30; 1 drivers +v0x55a5df8aeb90_0 .net *"_ivl_696", 63 0, L_0x55a5df8e62c0; 1 drivers +v0x55a5df8aec70_0 .net *"_ivl_698", 63 0, L_0x55a5df8e6f80; 1 drivers +L_0x7fbf529c6330 .functor BUFT 1, C4<0010011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aed50_0 .net/2u *"_ivl_70", 6 0, L_0x7fbf529c6330; 1 drivers +L_0x7fbf529c7e78 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aee30_0 .net *"_ivl_701", 31 0, L_0x7fbf529c7e78; 1 drivers +v0x55a5df8aef10_0 .net *"_ivl_702", 63 0, L_0x55a5df8e7070; 1 drivers +L_0x7fbf529c7ec0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8aeff0_0 .net *"_ivl_705", 31 0, L_0x7fbf529c7ec0; 1 drivers +v0x55a5df8af0d0_0 .net *"_ivl_706", 63 0, L_0x55a5df8e72a0; 1 drivers +v0x55a5df8af1b0_0 .net *"_ivl_708", 63 0, L_0x55a5df8e7d00; 1 drivers +L_0x7fbf529c7f08 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8af290_0 .net *"_ivl_711", 31 0, L_0x7fbf529c7f08; 1 drivers +v0x55a5df8af370_0 .net *"_ivl_712", 63 0, L_0x55a5df8e7df0; 1 drivers +L_0x7fbf529c7f50 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8af450_0 .net *"_ivl_715", 31 0, L_0x7fbf529c7f50; 1 drivers +v0x55a5df8af530_0 .net *"_ivl_716", 63 0, L_0x55a5df8e7590; 1 drivers +v0x55a5df8af610_0 .net *"_ivl_718", 63 0, L_0x55a5df8e76d0; 1 drivers +v0x55a5df8af6f0_0 .net *"_ivl_72", 0 0, L_0x55a5df8ce2d0; 1 drivers +L_0x7fbf529c7f98 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8af7b0_0 .net *"_ivl_721", 31 0, L_0x7fbf529c7f98; 1 drivers +v0x55a5df8af890_0 .net *"_ivl_723", 5 0, L_0x55a5df8e77c0; 1 drivers +v0x55a5df8af970_0 .net *"_ivl_724", 63 0, L_0x55a5df8e7860; 1 drivers +v0x55a5df8afa50_0 .net *"_ivl_726", 63 0, L_0x55a5df8e79d0; 1 drivers +L_0x7fbf529c7fe0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8afb30_0 .net *"_ivl_729", 31 0, L_0x7fbf529c7fe0; 1 drivers +v0x55a5df8afc10_0 .net *"_ivl_731", 5 0, L_0x55a5df8e8480; 1 drivers +v0x55a5df8afcf0_0 .net *"_ivl_732", 63 0, L_0x55a5df8e8520; 1 drivers +v0x55a5df8afdd0_0 .net *"_ivl_734", 63 0, L_0x55a5df8e7e90; 1 drivers +L_0x7fbf529c8028 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8afeb0_0 .net *"_ivl_737", 31 0, L_0x7fbf529c8028; 1 drivers +v0x55a5df8aff90_0 .net *"_ivl_738", 63 0, L_0x55a5df8e7f80; 1 drivers +L_0x7fbf529c8070 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b0070_0 .net *"_ivl_741", 31 0, L_0x7fbf529c8070; 1 drivers +v0x55a5df8b0150_0 .net *"_ivl_742", 63 0, L_0x55a5df8e80a0; 1 drivers +v0x55a5df8b0230_0 .net *"_ivl_744", 63 0, L_0x55a5df8e8c20; 1 drivers +L_0x7fbf529c80b8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b0310_0 .net *"_ivl_747", 31 0, L_0x7fbf529c80b8; 1 drivers +v0x55a5df8b03f0_0 .net *"_ivl_748", 63 0, L_0x55a5df8e8d10; 1 drivers +v0x55a5df8b04d0_0 .net *"_ivl_75", 0 0, L_0x55a5df8839b0; 1 drivers +L_0x7fbf529c8100 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b0590_0 .net *"_ivl_751", 31 0, L_0x7fbf529c8100; 1 drivers +v0x55a5df8b0670_0 .net *"_ivl_752", 63 0, L_0x55a5df8e86b0; 1 drivers +v0x55a5df8b0750_0 .net *"_ivl_754", 63 0, L_0x55a5df8e87c0; 1 drivers +L_0x7fbf529c8148 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b0830_0 .net *"_ivl_757", 31 0, L_0x7fbf529c8148; 1 drivers +v0x55a5df8b0910_0 .net *"_ivl_758", 63 0, L_0x55a5df8e88b0; 1 drivers +L_0x7fbf529c6378 .functor BUFT 1, C4<0011011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b09f0_0 .net/2u *"_ivl_76", 6 0, L_0x7fbf529c6378; 1 drivers +L_0x7fbf529c8190 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b0ad0_0 .net *"_ivl_761", 31 0, L_0x7fbf529c8190; 1 drivers +v0x55a5df8b0bb0_0 .net *"_ivl_762", 63 0, L_0x55a5df8e89d0; 1 drivers +v0x55a5df8b0c90_0 .net *"_ivl_764", 63 0, L_0x55a5df8e8ae0; 1 drivers +L_0x7fbf529c81d8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b0d70_0 .net *"_ivl_767", 31 0, L_0x7fbf529c81d8; 1 drivers +v0x55a5df8b0e50_0 .net *"_ivl_768", 63 0, L_0x55a5df8e93c0; 1 drivers +L_0x7fbf529c8220 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b0f30_0 .net *"_ivl_771", 31 0, L_0x7fbf529c8220; 1 drivers +v0x55a5df8b1010_0 .net *"_ivl_772", 63 0, L_0x55a5df8e8e30; 1 drivers +v0x55a5df8b10f0_0 .net *"_ivl_774", 63 0, L_0x55a5df8e8fe0; 1 drivers +L_0x7fbf529c8268 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b11d0_0 .net *"_ivl_777", 31 0, L_0x7fbf529c8268; 1 drivers +v0x55a5df8b12b0_0 .net *"_ivl_779", 4 0, L_0x55a5df8e90d0; 1 drivers +v0x55a5df8b1390_0 .net *"_ivl_78", 0 0, L_0x55a5df8ce460; 1 drivers +v0x55a5df8b1450_0 .net *"_ivl_780", 63 0, L_0x55a5df8e9170; 1 drivers +v0x55a5df8b1530_0 .net *"_ivl_782", 63 0, L_0x55a5df8e92e0; 1 drivers +L_0x7fbf529c82b0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b1610_0 .net *"_ivl_785", 31 0, L_0x7fbf529c82b0; 1 drivers +v0x55a5df8b16f0_0 .net *"_ivl_787", 4 0, L_0x55a5df8e9ac0; 1 drivers +v0x55a5df8b17d0_0 .net *"_ivl_788", 63 0, L_0x55a5df8e9460; 1 drivers +v0x55a5df8b18b0_0 .net *"_ivl_790", 63 0, L_0x55a5df8e95d0; 1 drivers +L_0x7fbf529c82f8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b1990_0 .net *"_ivl_793", 31 0, L_0x7fbf529c82f8; 1 drivers +v0x55a5df8b1a70_0 .net *"_ivl_795", 19 0, L_0x55a5df8e9710; 1 drivers +L_0x7fbf529c8340 .functor BUFT 1, C4<000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b1b50_0 .net/2u *"_ivl_796", 11 0, L_0x7fbf529c8340; 1 drivers +v0x55a5df8b1c30_0 .net *"_ivl_798", 31 0, L_0x55a5df8e97b0; 1 drivers +v0x55a5df8b1d10_0 .net *"_ivl_8", 0 0, L_0x55a5df8addd0; 1 drivers +v0x55a5df8b1dd0_0 .net *"_ivl_800", 63 0, L_0x55a5df8e9920; 1 drivers +L_0x7fbf529c8388 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b1eb0_0 .net *"_ivl_803", 31 0, L_0x7fbf529c8388; 1 drivers +v0x55a5df8b1f90_0 .net *"_ivl_804", 63 0, L_0x55a5df8ea1a0; 1 drivers +L_0x7fbf529c83d0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b2070_0 .net *"_ivl_807", 31 0, L_0x7fbf529c83d0; 1 drivers +v0x55a5df8b2150_0 .net *"_ivl_808", 63 0, L_0x55a5df8e9b60; 1 drivers +v0x55a5df8b2230_0 .net *"_ivl_81", 0 0, L_0x55a5df884590; 1 drivers +L_0x7fbf529c8418 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b22f0_0 .net *"_ivl_811", 31 0, L_0x7fbf529c8418; 1 drivers +v0x55a5df8b23d0_0 .net *"_ivl_812", 63 0, L_0x55a5df8e9c80; 1 drivers +v0x55a5df8b24b0_0 .net *"_ivl_815", 0 0, L_0x55a5df8e8ed0; 1 drivers +v0x55a5df8b2570_0 .net *"_ivl_816", 63 0, L_0x55a5df8e9e60; 1 drivers +L_0x7fbf529c8460 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b2650_0 .net *"_ivl_819", 31 0, L_0x7fbf529c8460; 1 drivers +L_0x7fbf529c63c0 .functor BUFT 1, C4<1100111>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b2730_0 .net/2u *"_ivl_82", 6 0, L_0x7fbf529c63c0; 1 drivers +L_0x7fbf529c84a8 .functor BUFT 1, C4<0000000000000000000000000000000000000000000000000000000000000100>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b2810_0 .net/2u *"_ivl_820", 63 0, L_0x7fbf529c84a8; 1 drivers +v0x55a5df8b28f0_0 .net *"_ivl_822", 63 0, L_0x55a5df8e9fa0; 1 drivers +v0x55a5df8b29d0_0 .net *"_ivl_825", 31 0, L_0x55a5df8ea240; 1 drivers +v0x55a5df8b2ab0_0 .net *"_ivl_826", 63 0, L_0x55a5df8ea2e0; 1 drivers +L_0x7fbf529c84f0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b2b90_0 .net *"_ivl_829", 31 0, L_0x7fbf529c84f0; 1 drivers +v0x55a5df8b2c70_0 .net *"_ivl_831", 31 0, L_0x55a5df8ea420; 1 drivers +v0x55a5df8b2d50_0 .net *"_ivl_832", 63 0, L_0x55a5df8ea510; 1 drivers +L_0x7fbf529c8538 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b2e30_0 .net *"_ivl_835", 31 0, L_0x7fbf529c8538; 1 drivers +v0x55a5df8b2f10_0 .net *"_ivl_836", 63 0, L_0x55a5df8ea650; 1 drivers +L_0x7fbf529c8580 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b2ff0_0 .net *"_ivl_839", 31 0, L_0x7fbf529c8580; 1 drivers +v0x55a5df8b30d0_0 .net *"_ivl_84", 0 0, L_0x55a5df8ce6f0; 1 drivers +L_0x7fbf529c85c8 .functor BUFT 1, C4<0000000000000000000000000000000000000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b3190_0 .net/2u *"_ivl_840", 63 0, L_0x7fbf529c85c8; 1 drivers +v0x55a5df8b3270_0 .net *"_ivl_842", 63 0, L_0x55a5df8ea740; 1 drivers +v0x55a5df8b3350_0 .net *"_ivl_844", 63 0, L_0x55a5df8eb790; 1 drivers +v0x55a5df8b3430_0 .net *"_ivl_846", 63 0, L_0x55a5df8eb920; 1 drivers +v0x55a5df8b3510_0 .net *"_ivl_848", 63 0, L_0x55a5df8eb190; 1 drivers +v0x55a5df8b35f0_0 .net *"_ivl_850", 63 0, L_0x55a5df8eb320; 1 drivers +v0x55a5df8b36d0_0 .net *"_ivl_852", 63 0, L_0x55a5df8eb4b0; 1 drivers +v0x55a5df8b37b0_0 .net *"_ivl_854", 63 0, L_0x55a5df8eb640; 1 drivers +v0x55a5df8b3890_0 .net *"_ivl_856", 63 0, L_0x55a5df8ec130; 1 drivers +v0x55a5df8b3970_0 .net *"_ivl_858", 63 0, L_0x55a5df8ec2c0; 1 drivers +v0x55a5df8b3a50_0 .net *"_ivl_860", 63 0, L_0x55a5df8ebab0; 1 drivers +v0x55a5df8b3b30_0 .net *"_ivl_862", 63 0, L_0x55a5df8ebc40; 1 drivers +v0x55a5df8b3c10_0 .net *"_ivl_864", 63 0, L_0x55a5df8ebdd0; 1 drivers +v0x55a5df8b3cf0_0 .net *"_ivl_866", 63 0, L_0x55a5df8ebf60; 1 drivers +v0x55a5df8b3dd0_0 .net *"_ivl_868", 63 0, L_0x55a5df8ecb00; 1 drivers +v0x55a5df8b3eb0_0 .net *"_ivl_870", 63 0, L_0x55a5df8ecc90; 1 drivers +v0x55a5df8b3f90_0 .net *"_ivl_872", 63 0, L_0x55a5df8ec400; 1 drivers +v0x55a5df8b4070_0 .net *"_ivl_874", 63 0, L_0x55a5df8ec590; 1 drivers +v0x55a5df8b4150_0 .net *"_ivl_876", 63 0, L_0x55a5df8ec720; 1 drivers +v0x55a5df8b4230_0 .net *"_ivl_878", 63 0, L_0x55a5df8ec8b0; 1 drivers +L_0x7fbf529c6408 .functor BUFT 1, C4<0110011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b4310_0 .net/2u *"_ivl_88", 6 0, L_0x7fbf529c6408; 1 drivers +v0x55a5df8b43f0_0 .net *"_ivl_880", 63 0, L_0x55a5df8ed460; 1 drivers +v0x55a5df8b44d0_0 .net *"_ivl_882", 63 0, L_0x55a5df8ed550; 1 drivers +v0x55a5df8b45b0_0 .net *"_ivl_884", 63 0, L_0x55a5df8ecd30; 1 drivers +v0x55a5df8b4690_0 .net *"_ivl_886", 63 0, L_0x55a5df8ecec0; 1 drivers +v0x55a5df8b4770_0 .net *"_ivl_888", 63 0, L_0x55a5df8ed050; 1 drivers +v0x55a5df8b4850_0 .net *"_ivl_890", 63 0, L_0x55a5df8ed1e0; 1 drivers +v0x55a5df8b4930_0 .net *"_ivl_892", 63 0, L_0x55a5df8ed370; 1 drivers +v0x55a5df8b4a10_0 .net *"_ivl_90", 0 0, L_0x55a5df8ce990; 1 drivers +v0x55a5df8b4ad0_0 .net *"_ivl_900", 0 0, L_0x55a5df8ed660; 1 drivers +v0x55a5df8b4b90_0 .net *"_ivl_902", 0 0, L_0x55a5df8ed700; 1 drivers +v0x55a5df8b4c50_0 .net *"_ivl_904", 0 0, L_0x55a5df8ed7a0; 1 drivers +v0x55a5df8b4d10_0 .net *"_ivl_906", 0 0, L_0x55a5df8ed8e0; 1 drivers +v0x55a5df8b4dd0_0 .net *"_ivl_908", 0 0, L_0x55a5df8ed980; 1 drivers +v0x55a5df8b4e90_0 .net *"_ivl_910", 0 0, L_0x55a5df8eda20; 1 drivers +L_0x7fbf529c8610 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b4f50_0 .net/2u *"_ivl_912", 0 0, L_0x7fbf529c8610; 1 drivers +v0x55a5df8b5030_0 .net *"_ivl_914", 0 0, L_0x55a5df8edac0; 1 drivers +v0x55a5df8b5110_0 .net *"_ivl_916", 0 0, L_0x55a5df8edc50; 1 drivers +v0x55a5df8b51f0_0 .net *"_ivl_918", 0 0, L_0x55a5df8ee780; 1 drivers +L_0x7fbf529c6450 .functor BUFT 1, C4<0111011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b52d0_0 .net/2u *"_ivl_92", 6 0, L_0x7fbf529c6450; 1 drivers +v0x55a5df8b53b0_0 .net *"_ivl_920", 0 0, L_0x55a5df8ee910; 1 drivers +v0x55a5df8b5490_0 .net *"_ivl_922", 0 0, L_0x55a5df8ee020; 1 drivers +L_0x7fbf529c8658 .functor BUFT 1, C4<00000000000000000000000000000100>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b5570_0 .net/2u *"_ivl_928", 31 0, L_0x7fbf529c8658; 1 drivers +v0x55a5df8b5650_0 .net *"_ivl_934", 31 0, L_0x55a5df8ee630; 1 drivers +v0x55a5df8b5730_0 .net *"_ivl_936", 31 0, L_0x55a5df8ef240; 1 drivers +v0x55a5df8b5810_0 .net *"_ivl_94", 0 0, L_0x55a5df8ceb60; 1 drivers +v0x55a5df8b58d0_0 .net *"_ivl_940", 31 0, L_0x55a5df8eeaa0; 1 drivers +L_0x7fbf529c86a0 .functor BUFT 1, C4<000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b59b0_0 .net *"_ivl_943", 26 0, L_0x7fbf529c86a0; 1 drivers +L_0x7fbf529c86e8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b5a90_0 .net/2u *"_ivl_944", 31 0, L_0x7fbf529c86e8; 1 drivers +v0x55a5df8b5b70_0 .net *"_ivl_946", 0 0, L_0x55a5df8eebe0; 1 drivers +v0x55a5df8b5c30_0 .net *"_ivl_949", 0 0, L_0x55a5df8ee3e0; 1 drivers +v0x55a5df8b5cf0_0 .net *"_ivl_951", 0 0, L_0x55a5df8eefb0; 1 drivers +v0x55a5df8b5db0_0 .net *"_ivl_953", 0 0, L_0x55a5df8ef070; 1 drivers +v0x55a5df8b5e70_0 .net *"_ivl_955", 0 0, L_0x55a5df8ef130; 1 drivers +v0x55a5df8b5f30_0 .net *"_ivl_957", 0 0, L_0x55a5df8efed0; 1 drivers +v0x55a5df8b5ff0_0 .net *"_ivl_959", 0 0, L_0x55a5df8f01f0; 1 drivers +v0x55a5df8b60b0_0 .net *"_ivl_961", 0 0, L_0x55a5df8f02b0; 1 drivers +v0x55a5df8b6170_0 .net *"_ivl_963", 0 0, L_0x55a5df8f0630; 1 drivers +v0x55a5df8b6230_0 .net *"_ivl_965", 0 0, L_0x55a5df8f06f0; 1 drivers +v0x55a5df8b62f0_0 .net *"_ivl_967", 0 0, L_0x55a5df8f0a80; 1 drivers +v0x55a5df8b63b0_0 .net *"_ivl_969", 0 0, L_0x55a5df8f0b40; 1 drivers +v0x55a5df8b6470_0 .net *"_ivl_971", 0 0, L_0x55a5df8f0f70; 1 drivers +v0x55a5df8b6530_0 .net *"_ivl_973", 0 0, L_0x55a5df8f0fe0; 1 drivers +v0x55a5df8b65f0_0 .net *"_ivl_975", 0 0, L_0x55a5df8f1390; 1 drivers +v0x55a5df8b66b0_0 .net *"_ivl_977", 0 0, L_0x55a5df8f14e0; 1 drivers +v0x55a5df8b6770_0 .net *"_ivl_979", 0 0, L_0x55a5df8f18a0; 1 drivers +L_0x7fbf529c6498 .functor BUFT 1, C4<0100011>, C4<0>, C4<0>, C4<0>; +v0x55a5df8b6830_0 .net/2u *"_ivl_98", 6 0, L_0x7fbf529c6498; 1 drivers +v0x55a5df8b6910_0 .net *"_ivl_981", 0 0, L_0x55a5df8f19b0; 1 drivers +v0x55a5df8b69d0_0 .net *"_ivl_983", 0 0, L_0x55a5df8f1dd0; 1 drivers +v0x55a5df8b6a90_0 .net *"_ivl_985", 0 0, L_0x55a5df8f1ee0; 1 drivers +v0x55a5df8b6b50_0 .net *"_ivl_987", 0 0, L_0x55a5df8f2310; 1 drivers +v0x55a5df8b6c10_0 .net *"_ivl_989", 0 0, L_0x55a5df8f23d0; 1 drivers +v0x55a5df8b6cd0_0 .net *"_ivl_991", 0 0, L_0x55a5df8f27c0; 1 drivers +v0x55a5df8b6d90_0 .net *"_ivl_993", 0 0, L_0x55a5df8f2880; 1 drivers +v0x55a5df8b6e50_0 .net *"_ivl_995", 0 0, L_0x55a5df8f2c80; 1 drivers +v0x55a5df8b6f10_0 .net *"_ivl_997", 0 0, L_0x55a5df8f2d40; 1 drivers +v0x55a5df8b6fd0_0 .net *"_ivl_999", 0 0, L_0x55a5df8f3150; 1 drivers +v0x55a5df8b7090_0 .net "alu_result", 31 0, L_0x55a5df8eddf0; 1 drivers +v0x55a5df8b7170_0 .net "branch_taken", 0 0, L_0x55a5df8ee1b0; 1 drivers +v0x55a5df8b7230_0 .net "branch_target", 31 0, L_0x55a5df8ee340; 1 drivers +v0x55a5df8b7310_0 .net "clk", 0 0, v0x55a5df8ad800_0; 1 drivers +v0x55a5df8b73d0 .array "dmem", 31 0, 31 0; +v0x55a5df8b7490_0 .net "funct3", 2 0, L_0x55a5df8cdad0; 1 drivers +v0x55a5df8b7570_0 .net "funct7", 6 0, L_0x55a5df8cdba0; 1 drivers +v0x55a5df8b7650_0 .var/i "i", 31 0; +v0x55a5df8b7730 .array "imem", 63 0, 31 0; +v0x55a5df8b77f0_0 .net "instr", 31 0, L_0x55a5df8ae130; alias, 1 drivers +v0x55a5df8b78d0_0 .net "isADD", 0 0, L_0x55a5df8d4c30; 1 drivers +v0x55a5df8b7990_0 .net "isADDI", 0 0, L_0x55a5df8d4250; 1 drivers +v0x55a5df8b7a50_0 .net "isAND", 0 0, L_0x55a5df8ded20; 1 drivers +v0x55a5df8b7b10_0 .net "isANDI", 0 0, L_0x55a5df8dda80; 1 drivers +v0x55a5df8b7bd0_0 .net "isAUIPC", 0 0, L_0x55a5df8e19b0; 1 drivers +v0x55a5df8b7c90_0 .net "isBEQ", 0 0, L_0x55a5df8d5090; 1 drivers +v0x55a5df8b7d50_0 .net "isBGE", 0 0, L_0x55a5df8d5820; 1 drivers +v0x55a5df8b7e10_0 .net "isBGEU", 0 0, L_0x55a5df8d5e00; 1 drivers +v0x55a5df8b7ed0_0 .net "isBLT", 0 0, L_0x55a5df8d56c0; 1 drivers +v0x55a5df8b7f90_0 .net "isBLTU", 0 0, L_0x55a5df8d5300; 1 drivers +v0x55a5df8b8050_0 .net "isBNE", 0 0, L_0x55a5df8d5240; 1 drivers +v0x55a5df8b8110_0 .net "isBType", 0 0, L_0x55a5df8cf2c0; 1 drivers +v0x55a5df8b81d0_0 .net "isIType", 0 0, L_0x55a5df885d80; 1 drivers +v0x55a5df8b8290_0 .net "isJAL", 0 0, L_0x55a5df8e1ad0; 1 drivers +v0x55a5df8b8350_0 .net "isJALR", 0 0, L_0x55a5df8e25e0; 1 drivers +v0x55a5df8b8410_0 .net "isJType", 0 0, L_0x55a5df8cf4b0; 1 drivers +v0x55a5df8b84d0_0 .net "isLB", 0 0, L_0x55a5df8d62f0; 1 drivers +v0x55a5df8b8590_0 .net "isLBU", 0 0, L_0x55a5df8d6fc0; 1 drivers +v0x55a5df8b8650_0 .net "isLH", 0 0, L_0x55a5df8d64f0; 1 drivers +v0x55a5df8b8710_0 .net "isLHU", 0 0, L_0x55a5df8d7160; 1 drivers +v0x55a5df8b87d0_0 .net "isLUI", 0 0, L_0x55a5df8e1500; 1 drivers +v0x55a5df8b8890_0 .net "isLW", 0 0, L_0x55a5df8d6b20; 1 drivers +v0x55a5df8b8950_0 .net "isOR", 0 0, L_0x55a5df8dfd10; 1 drivers +v0x55a5df8b8a10_0 .net "isORI", 0 0, L_0x55a5df8ddc30; 1 drivers +v0x55a5df8b8ad0_0 .net "isRType", 0 0, L_0x55a5df8cec80; 1 drivers +v0x55a5df8b8b90_0 .net "isSB", 0 0, L_0x55a5df8d75f0; 1 drivers +v0x55a5df8b8c50_0 .net "isSH", 0 0, L_0x55a5df8d7ac0; 1 drivers +v0x55a5df8b8d10_0 .net "isSLL", 0 0, L_0x55a5df8d9bf0; 1 drivers +v0x55a5df8b8dd0_0 .net "isSLLI", 0 0, L_0x55a5df8dca00; 1 drivers +v0x55a5df8b8e90_0 .net "isSLT", 0 0, L_0x55a5df8d89f0; 1 drivers +v0x55a5df8b8f50_0 .net "isSLTI", 0 0, L_0x55a5df8da0f0; 1 drivers +v0x55a5df8b9010_0 .net "isSLTIU", 0 0, L_0x55a5df8da3c0; 1 drivers +v0x55a5df8b90d0_0 .net "isSLTU", 0 0, L_0x55a5df8d9210; 1 drivers +v0x55a5df8b9190_0 .net "isSRA", 0 0, L_0x55a5df8dabb0; 1 drivers +v0x55a5df8b9250_0 .net "isSRAI", 0 0, L_0x55a5df8dbf30; 1 drivers +v0x55a5df8b9310_0 .net "isSRL", 0 0, L_0x55a5df8db600; 1 drivers +v0x55a5df8b93d0_0 .net "isSRLI", 0 0, L_0x55a5df8dd380; 1 drivers +v0x55a5df8b9490_0 .net "isSType", 0 0, L_0x55a5df8cf1b0; 1 drivers +v0x55a5df8b9550_0 .net "isSUB", 0 0, L_0x55a5df8e11e0; 1 drivers +v0x55a5df8b9610_0 .net "isSW", 0 0, L_0x55a5df8d8080; 1 drivers +v0x55a5df8b96d0_0 .net "isUType", 0 0, L_0x55a5df8cd5e0; 1 drivers +v0x55a5df8b9790_0 .net "isXOR", 0 0, L_0x55a5df8e0740; 1 drivers +v0x55a5df8b9850_0 .net "isXORI", 0 0, L_0x55a5df8de330; 1 drivers +v0x55a5df8b9910_0 .net "is_load", 0 0, L_0x55a5df8e29d0; 1 drivers +v0x55a5df8b99d0_0 .net "is_mem_op", 0 0, L_0x55a5df8e2fd0; 1 drivers +v0x55a5df8b9a90_0 .net "is_store", 0 0, L_0x55a5df8e2ac0; 1 drivers +v0x55a5df8b9b50_0 .var/i "j", 31 0; +v0x55a5df8b9c30_0 .net "jalr_tgt_pc", 31 0, L_0x55a5df8ee590; 1 drivers +v0x55a5df8b9d10_0 .net "ld_data", 31 0, L_0x55a5df8cd540; 1 drivers +v0x55a5df8b9df0_0 .var "load_data", 31 0; +v0x55a5df8b9ed0_0 .net "mem_addr", 31 0, L_0x55a5df8e26f0; 1 drivers +v0x55a5df8b9fb0_0 .net "next_pc", 31 0, L_0x55a5df8ef380; alias, 1 drivers +v0x55a5df8ba090_0 .net "next_pc_base", 31 0, L_0x55a5df8ee4a0; 1 drivers +v0x55a5df8ba170_0 .net "opcode", 6 0, L_0x55a5df8cd740; 1 drivers +v0x55a5df8ba250_0 .var "pc", 31 0; +v0x55a5df8ba330_0 .net "rd", 4 0, L_0x55a5df8cd9a0; 1 drivers +v0x55a5df8ba410 .array "rf", 31 0, 31 0; +v0x55a5df8ba4d0_0 .net "rf_write_enable", 0 0, L_0x55a5df8f3730; 1 drivers +v0x55a5df8ba590_0 .net "rs1", 4 0, L_0x55a5df8cd7e0; 1 drivers +v0x55a5df8ba670_0 .net "rs1_val", 31 0, L_0x55a5df8d3040; 1 drivers +v0x55a5df8ba750_0 .net "rs2", 4 0, L_0x55a5df8cd900; 1 drivers +v0x55a5df8ba830_0 .net "rs2_val", 31 0, L_0x55a5df8d3a40; 1 drivers +v0x55a5df8ba910_0 .net "rst", 0 0, v0x55a5df8adaa0_0; 1 drivers +v0x55a5df8ba9d0_0 .net/s "signed_rs1", 31 0, L_0x55a5df8ea040; 1 drivers +v0x55a5df8baab0_0 .net/s "signed_rs2", 31 0, L_0x55a5df8ed5f0; 1 drivers +v0x55a5df8bab90_0 .net "signed_slt", 31 0, L_0x55a5df8e4bf0; 1 drivers +v0x55a5df8bac70_0 .net "slt_rslt", 31 0, L_0x55a5df8e4940; 1 drivers +v0x55a5df8bad50_0 .net "slti_rslt", 31 0, L_0x55a5df8e5190; 1 drivers +v0x55a5df8bae30_0 .net "sltiu_rslt", 31 0, L_0x55a5df8e5ea0; 1 drivers +v0x55a5df8baf10_0 .net "sltu_rslt", 31 0, L_0x55a5df8e3220; 1 drivers +v0x55a5df8baff0_0 .net "sra_rslt", 63 0, L_0x55a5df8e60e0; 1 drivers +v0x55a5df8bb0d0_0 .net "srai_rslt", 63 0, L_0x55a5df8e5d10; 1 drivers +v0x55a5df8bb1b0_0 .net "word_addr", 4 0, L_0x55a5df8ae350; 1 drivers +v0x55a5df8bb290_0 .net "writeback_data", 31 0, L_0x55a5df8f3bb0; 1 drivers +E_0x55a5df7f20c0 .event posedge, v0x55a5df8b7310_0; +E_0x55a5df7ca630 .event anyedge, v0x55a5df8b9ed0_0, v0x55a5df8b7490_0, v0x55a5df8b9d10_0; +L_0x55a5df8adb40 .part v0x55a5df8ba250_0, 2, 30; +L_0x55a5df8adc60 .concat [ 30 2 0 0], L_0x55a5df8adb40, L_0x7fbf529c6018; +L_0x55a5df8addd0 .cmp/gt 32, L_0x7fbf529c6060, L_0x55a5df8adc60; +L_0x55a5df8adf40 .array/port v0x55a5df8b7730, L_0x55a5df8ae010; +L_0x55a5df8ae010 .part v0x55a5df8ba250_0, 2, 30; +L_0x55a5df8ae130 .functor MUXZ 32, L_0x7fbf529c60a8, L_0x55a5df8adf40, L_0x55a5df8addd0, C4<>; +L_0x55a5df8ae350 .part L_0x55a5df8e26f0, 2, 5; +L_0x55a5df8ae3f0 .concat [ 5 27 0 0], L_0x55a5df8ae350, L_0x7fbf529c60f0; +L_0x55a5df8ae5d0 .cmp/gt 32, L_0x7fbf529c6138, L_0x55a5df8ae3f0; +L_0x55a5df8cd350 .array/port v0x55a5df8b73d0, L_0x55a5df8cd450; +L_0x55a5df8cd450 .concat [ 5 2 0 0], L_0x55a5df8ae350, L_0x7fbf529c6180; +L_0x55a5df8cd540 .functor MUXZ 32, L_0x7fbf529c61c8, L_0x55a5df8cd350, L_0x55a5df8ae5d0, C4<>; +L_0x55a5df8cd740 .part L_0x55a5df8ae130, 0, 7; +L_0x55a5df8cd7e0 .part L_0x55a5df8ae130, 15, 5; +L_0x55a5df8cd900 .part L_0x55a5df8ae130, 20, 5; +L_0x55a5df8cd9a0 .part L_0x55a5df8ae130, 7, 5; +L_0x55a5df8cdad0 .part L_0x55a5df8ae130, 12, 3; +L_0x55a5df8cdba0 .part L_0x55a5df8ae130, 25, 7; +L_0x55a5df8cdd40 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c6210; +L_0x55a5df8cde60 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c6258; +L_0x55a5df8cdc70 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c62a0; +L_0x55a5df8ce050 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c62e8; +L_0x55a5df8ce2d0 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c6330; +L_0x55a5df8ce460 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c6378; +L_0x55a5df8ce6f0 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c63c0; +L_0x55a5df8ce990 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c6408; +L_0x55a5df8ceb60 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c6450; +L_0x55a5df8ceee0 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c6498; +L_0x55a5df8cf0c0 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c64e0; +L_0x55a5df8cf2c0 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c6528; +L_0x55a5df8cf4b0 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c6570; +L_0x55a5df8cf5d0 .part L_0x55a5df8ae130, 31, 1; +LS_0x55a5df8cf780_0_0 .concat [ 1 1 1 1], L_0x55a5df8cf5d0, L_0x55a5df8cf5d0, L_0x55a5df8cf5d0, L_0x55a5df8cf5d0; +LS_0x55a5df8cf780_0_4 .concat [ 1 1 1 1], L_0x55a5df8cf5d0, L_0x55a5df8cf5d0, L_0x55a5df8cf5d0, L_0x55a5df8cf5d0; +LS_0x55a5df8cf780_0_8 .concat [ 1 1 1 1], L_0x55a5df8cf5d0, L_0x55a5df8cf5d0, L_0x55a5df8cf5d0, L_0x55a5df8cf5d0; +LS_0x55a5df8cf780_0_12 .concat [ 1 1 1 1], L_0x55a5df8cf5d0, L_0x55a5df8cf5d0, L_0x55a5df8cf5d0, L_0x55a5df8cf5d0; +LS_0x55a5df8cf780_0_16 .concat [ 1 1 1 1], L_0x55a5df8cf5d0, L_0x55a5df8cf5d0, L_0x55a5df8cf5d0, L_0x55a5df8cf5d0; +LS_0x55a5df8cf780_1_0 .concat [ 4 4 4 4], LS_0x55a5df8cf780_0_0, LS_0x55a5df8cf780_0_4, LS_0x55a5df8cf780_0_8, LS_0x55a5df8cf780_0_12; +LS_0x55a5df8cf780_1_4 .concat [ 4 0 0 0], LS_0x55a5df8cf780_0_16; +L_0x55a5df8cf780 .concat [ 16 4 0 0], LS_0x55a5df8cf780_1_0, LS_0x55a5df8cf780_1_4; +L_0x55a5df8cf9b0 .part L_0x55a5df8ae130, 20, 12; +L_0x55a5df8cfb70 .concat [ 12 20 0 0], L_0x55a5df8cf9b0, L_0x55a5df8cf780; +L_0x55a5df8cfc90 .part L_0x55a5df8ae130, 31, 1; +LS_0x55a5df8cfa50_0_0 .concat [ 1 1 1 1], L_0x55a5df8cfc90, L_0x55a5df8cfc90, L_0x55a5df8cfc90, L_0x55a5df8cfc90; +LS_0x55a5df8cfa50_0_4 .concat [ 1 1 1 1], L_0x55a5df8cfc90, L_0x55a5df8cfc90, L_0x55a5df8cfc90, L_0x55a5df8cfc90; +LS_0x55a5df8cfa50_0_8 .concat [ 1 1 1 1], L_0x55a5df8cfc90, L_0x55a5df8cfc90, L_0x55a5df8cfc90, L_0x55a5df8cfc90; +LS_0x55a5df8cfa50_0_12 .concat [ 1 1 1 1], L_0x55a5df8cfc90, L_0x55a5df8cfc90, L_0x55a5df8cfc90, L_0x55a5df8cfc90; +LS_0x55a5df8cfa50_0_16 .concat [ 1 1 1 1], L_0x55a5df8cfc90, L_0x55a5df8cfc90, L_0x55a5df8cfc90, L_0x55a5df8cfc90; +LS_0x55a5df8cfa50_1_0 .concat [ 4 4 4 4], LS_0x55a5df8cfa50_0_0, LS_0x55a5df8cfa50_0_4, LS_0x55a5df8cfa50_0_8, LS_0x55a5df8cfa50_0_12; +LS_0x55a5df8cfa50_1_4 .concat [ 4 0 0 0], LS_0x55a5df8cfa50_0_16; +L_0x55a5df8cfa50 .concat [ 16 4 0 0], LS_0x55a5df8cfa50_1_0, LS_0x55a5df8cfa50_1_4; +L_0x55a5df8d0120 .part L_0x55a5df8ae130, 25, 7; +L_0x55a5df8d0300 .part L_0x55a5df8ae130, 7, 5; +L_0x55a5df8d03a0 .concat [ 5 7 20 0], L_0x55a5df8d0300, L_0x55a5df8d0120, L_0x55a5df8cfa50; +L_0x55a5df8d0690 .part L_0x55a5df8ae130, 31, 1; +LS_0x55a5df8d0730_0_0 .concat [ 1 1 1 1], L_0x55a5df8d0690, L_0x55a5df8d0690, L_0x55a5df8d0690, L_0x55a5df8d0690; +LS_0x55a5df8d0730_0_4 .concat [ 1 1 1 1], L_0x55a5df8d0690, L_0x55a5df8d0690, L_0x55a5df8d0690, L_0x55a5df8d0690; +LS_0x55a5df8d0730_0_8 .concat [ 1 1 1 1], L_0x55a5df8d0690, L_0x55a5df8d0690, L_0x55a5df8d0690, L_0x55a5df8d0690; +LS_0x55a5df8d0730_0_12 .concat [ 1 1 1 1], L_0x55a5df8d0690, L_0x55a5df8d0690, L_0x55a5df8d0690, L_0x55a5df8d0690; +LS_0x55a5df8d0730_0_16 .concat [ 1 1 1 0], L_0x55a5df8d0690, L_0x55a5df8d0690, L_0x55a5df8d0690; +LS_0x55a5df8d0730_1_0 .concat [ 4 4 4 4], LS_0x55a5df8d0730_0_0, LS_0x55a5df8d0730_0_4, LS_0x55a5df8d0730_0_8, LS_0x55a5df8d0730_0_12; +LS_0x55a5df8d0730_1_4 .concat [ 3 0 0 0], LS_0x55a5df8d0730_0_16; +L_0x55a5df8d0730 .concat [ 16 3 0 0], LS_0x55a5df8d0730_1_0, LS_0x55a5df8d0730_1_4; +L_0x55a5df8d0c40 .part L_0x55a5df8ae130, 31, 1; +L_0x55a5df8d0ce0 .part L_0x55a5df8ae130, 7, 1; +L_0x55a5df8d1100 .part L_0x55a5df8ae130, 25, 6; +L_0x55a5df8d11d0 .part L_0x55a5df8ae130, 8, 4; +LS_0x55a5df8d1450_0_0 .concat [ 1 4 6 1], L_0x7fbf529c65b8, L_0x55a5df8d11d0, L_0x55a5df8d1100, L_0x55a5df8d0ce0; +LS_0x55a5df8d1450_0_4 .concat [ 1 19 0 0], L_0x55a5df8d0c40, L_0x55a5df8d0730; +L_0x55a5df8d1450 .concat [ 12 20 0 0], LS_0x55a5df8d1450_0_0, LS_0x55a5df8d1450_0_4; +L_0x55a5df8d16b0 .part L_0x55a5df8ae130, 12, 20; +L_0x55a5df8d18e0 .concat [ 12 20 0 0], L_0x7fbf529c6600, L_0x55a5df8d16b0; +L_0x55a5df8d1a20 .part L_0x55a5df8ae130, 31, 1; +LS_0x55a5df8d1c60_0_0 .concat [ 1 1 1 1], L_0x55a5df8d1a20, L_0x55a5df8d1a20, L_0x55a5df8d1a20, L_0x55a5df8d1a20; +LS_0x55a5df8d1c60_0_4 .concat [ 1 1 1 1], L_0x55a5df8d1a20, L_0x55a5df8d1a20, L_0x55a5df8d1a20, L_0x55a5df8d1a20; +LS_0x55a5df8d1c60_0_8 .concat [ 1 1 1 0], L_0x55a5df8d1a20, L_0x55a5df8d1a20, L_0x55a5df8d1a20; +L_0x55a5df8d1c60 .concat [ 4 4 3 0], LS_0x55a5df8d1c60_0_0, LS_0x55a5df8d1c60_0_4, LS_0x55a5df8d1c60_0_8; +L_0x55a5df8d1dc0 .part L_0x55a5df8ae130, 31, 1; +L_0x55a5df8d2010 .part L_0x55a5df8ae130, 12, 8; +L_0x55a5df8d20b0 .part L_0x55a5df8ae130, 20, 1; +L_0x55a5df8d2310 .part L_0x55a5df8ae130, 21, 10; +LS_0x55a5df8d23e0_0_0 .concat [ 1 10 1 8], L_0x7fbf529c6648, L_0x55a5df8d2310, L_0x55a5df8d20b0, L_0x55a5df8d2010; +LS_0x55a5df8d23e0_0_4 .concat [ 1 11 0 0], L_0x55a5df8d1dc0, L_0x55a5df8d1c60; +L_0x55a5df8d23e0 .concat [ 20 12 0 0], LS_0x55a5df8d23e0_0_0, LS_0x55a5df8d23e0_0_4; +L_0x55a5df8d2810 .concat [ 5 27 0 0], L_0x55a5df8cd7e0, L_0x7fbf529c6690; +L_0x55a5df8d2950 .cmp/ne 32, L_0x55a5df8d2810, L_0x7fbf529c66d8; +L_0x55a5df8d2c70 .array/port v0x55a5df8ba410, L_0x55a5df8d2d10; +L_0x55a5df8d2d10 .concat [ 5 2 0 0], L_0x55a5df8cd7e0, L_0x7fbf529c6720; +L_0x55a5df8d3040 .functor MUXZ 32, L_0x7fbf529c6768, L_0x55a5df8d2c70, L_0x55a5df8d2950, C4<>; +L_0x55a5df8d31d0 .concat [ 5 27 0 0], L_0x55a5df8cd900, L_0x7fbf529c67b0; +L_0x55a5df8d3510 .cmp/ne 32, L_0x55a5df8d31d0, L_0x7fbf529c67f8; +L_0x55a5df8d3650 .array/port v0x55a5df8ba410, L_0x55a5df8d3900; +L_0x55a5df8d3900 .concat [ 5 2 0 0], L_0x55a5df8cd900, L_0x7fbf529c6840; +L_0x55a5df8d3a40 .functor MUXZ 32, L_0x7fbf529c6888, L_0x55a5df8d3650, L_0x55a5df8d3510, C4<>; +L_0x55a5df8d3df0 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c68d0; +L_0x55a5df8d3ee0 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6918; +L_0x55a5df8d43b0 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c6960; +L_0x55a5df8d46b0 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c69a8; +L_0x55a5df8d4af0 .cmp/eq 7, L_0x55a5df8cdba0, L_0x7fbf529c69f0; +L_0x55a5df8d4da0 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6a38; +L_0x55a5df8d5150 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6a80; +L_0x55a5df8d5370 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6ac8; +L_0x55a5df8d5730 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6b10; +L_0x55a5df8d5960 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6b58; +L_0x55a5df8d5d10 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6ba0; +L_0x55a5df8d5f50 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6be8; +L_0x55a5df8d6400 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6c30; +L_0x55a5df8d6650 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c6c78; +L_0x55a5df8d6a00 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6cc0; +L_0x55a5df8d6c30 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6d08; +L_0x55a5df8d65b0 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6d50; +L_0x55a5df8d7220 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6d98; +L_0x55a5df8d77c0 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6de0; +L_0x55a5df8d7b80 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c6e28; +L_0x55a5df8d7f60 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6e70; +L_0x55a5df8d8260 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6eb8; +L_0x55a5df8d8730 .part L_0x55a5df8cdba0, 5, 1; +L_0x55a5df8d8b00 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6f48; +L_0x55a5df8d9080 .part L_0x55a5df8cdba0, 5, 1; +L_0x55a5df8d9420 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c6fd8; +L_0x55a5df8d9900 .part L_0x55a5df8cdba0, 5, 1; +L_0x55a5df8d9d00 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c7068; +L_0x55a5df8da2d0 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c70b0; +L_0x55a5df8da480 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c70f8; +L_0x55a5df8da1b0 .part L_0x55a5df8cdba0, 5, 1; +L_0x55a5df8dae00 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c7188; +L_0x55a5df8db2d0 .part L_0x55a5df8cdba0, 5, 1; +L_0x55a5df8db710 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c7218; +L_0x55a5df8dbd50 .part L_0x55a5df8cdba0, 5, 1; +L_0x55a5df8dc1b0 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c72a8; +L_0x55a5df8dc6a0 .part L_0x55a5df8cdba0, 5, 1; +L_0x55a5df8dcb10 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c7338; +L_0x55a5df8dd1a0 .part L_0x55a5df8cdba0, 5, 1; +L_0x55a5df8dd630 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c73c8; +L_0x55a5df8ddb40 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c7410; +L_0x55a5df8ddea0 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c7458; +L_0x55a5df8de3f0 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c74a0; +L_0x55a5df8de790 .part L_0x55a5df8cdba0, 5, 1; +L_0x55a5df8df000 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c7530; +L_0x55a5df8df5c0 .part L_0x55a5df8cdba0, 5, 1; +L_0x55a5df8dfe20 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c75c0; +L_0x55a5df8e01c0 .part L_0x55a5df8cdba0, 5, 1; +L_0x55a5df8e0a50 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c7650; +L_0x55a5df8e0b40 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c7698; +L_0x55a5df8e10f0 .cmp/eq 7, L_0x55a5df8cdba0, L_0x7fbf529c76e0; +L_0x55a5df8e1500 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c7728; +L_0x55a5df8e19b0 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c7770; +L_0x55a5df8e1ad0 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c77b8; +L_0x55a5df8e1fc0 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c7800; +L_0x55a5df8e20e0 .cmp/eq 3, L_0x55a5df8cdad0, L_0x7fbf529c7848; +L_0x55a5df8e29d0 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c7890; +L_0x55a5df8e2ac0 .cmp/eq 7, L_0x55a5df8cd740, L_0x7fbf529c78d8; +L_0x55a5df8e30e0 .cmp/gt 32, L_0x55a5df8d3a40, L_0x55a5df8d3040; +L_0x55a5df8e3220 .concat [ 1 31 0 0], L_0x55a5df8e30e0, L_0x7fbf529c7920; +L_0x55a5df8e3760 .part L_0x55a5df8d3040, 31, 1; +L_0x55a5df8e3800 .part L_0x55a5df8d3a40, 31, 1; +L_0x55a5df8e3cb0 .reduce/nor L_0x55a5df8e3800; +L_0x55a5df8e40e0 .part L_0x55a5df8d3040, 31, 1; +L_0x55a5df8e45a0 .reduce/nor L_0x55a5df8e40e0; +L_0x55a5df8e4670 .part L_0x55a5df8d3a40, 31, 1; +L_0x55a5df8e4270 .cmp/gt 32, L_0x55a5df8d3a40, L_0x55a5df8d3040; +L_0x55a5df8e4310 .concat [ 1 31 0 0], L_0x55a5df8e4270, L_0x7fbf529c79f8; +L_0x55a5df8e4480 .functor MUXZ 32, L_0x55a5df8e4310, L_0x7fbf529c79b0, L_0x55a5df8e4180, C4<>; +L_0x55a5df8e4bf0 .functor MUXZ 32, L_0x55a5df8e4480, L_0x7fbf529c7968, L_0x55a5df8e3da0, C4<>; +L_0x55a5df8e4800 .concat [ 32 31 0 0], L_0x55a5df8e4bf0, L_0x7fbf529c7a40; +L_0x55a5df8e4940 .part L_0x55a5df8e4800, 0, 32; +L_0x55a5df8e4a30 .part L_0x55a5df8d3040, 31, 1; +L_0x55a5df8e50f0 .part L_0x55a5df8cfb70, 31, 1; +L_0x55a5df8e4fe0 .part L_0x55a5df8d3040, 31, 1; +L_0x55a5df8e5600 .concat [ 1 31 0 0], L_0x55a5df8e4fe0, L_0x7fbf529c7a88; +L_0x55a5df8e5190 .functor MUXZ 32, L_0x55a5df8e5600, L_0x55a5df8e3220, L_0x55a5df8e4c90, C4<>; +L_0x55a5df8e5320 .part L_0x55a5df8d3040, 31, 1; +LS_0x55a5df8e53c0_0_0 .concat [ 1 1 1 1], L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320; +LS_0x55a5df8e53c0_0_4 .concat [ 1 1 1 1], L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320; +LS_0x55a5df8e53c0_0_8 .concat [ 1 1 1 1], L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320; +LS_0x55a5df8e53c0_0_12 .concat [ 1 1 1 1], L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320; +LS_0x55a5df8e53c0_0_16 .concat [ 1 1 1 1], L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320; +LS_0x55a5df8e53c0_0_20 .concat [ 1 1 1 1], L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320; +LS_0x55a5df8e53c0_0_24 .concat [ 1 1 1 1], L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320; +LS_0x55a5df8e53c0_0_28 .concat [ 1 1 1 1], L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320, L_0x55a5df8e5320; +LS_0x55a5df8e53c0_1_0 .concat [ 4 4 4 4], LS_0x55a5df8e53c0_0_0, LS_0x55a5df8e53c0_0_4, LS_0x55a5df8e53c0_0_8, LS_0x55a5df8e53c0_0_12; +LS_0x55a5df8e53c0_1_4 .concat [ 4 4 4 4], LS_0x55a5df8e53c0_0_16, LS_0x55a5df8e53c0_0_20, LS_0x55a5df8e53c0_0_24, LS_0x55a5df8e53c0_0_28; +L_0x55a5df8e53c0 .concat [ 16 16 0 0], LS_0x55a5df8e53c0_1_0, LS_0x55a5df8e53c0_1_4; +L_0x55a5df8e5b80 .cmp/gt 32, L_0x55a5df8d3a40, L_0x55a5df8d3040; +L_0x55a5df8e56f0 .concat [ 1 32 0 0], L_0x55a5df8e5b80, L_0x55a5df8e53c0; +L_0x55a5df8e57e0 .concat [ 33 31 0 0], L_0x55a5df8e56f0, L_0x7fbf529c7ad0; +L_0x55a5df8e5920 .part L_0x55a5df8d3a40, 0, 5; +L_0x55a5df8e59c0 .shift/r 64, L_0x55a5df8e57e0, L_0x55a5df8e5920; +L_0x55a5df8e60e0 .concat [ 64 0 0 0], L_0x55a5df8e59c0; +L_0x55a5df8e6180 .part L_0x55a5df8cfb70, 0, 5; +L_0x55a5df8e5c20 .shift/r 64, L_0x55a5df8e57e0, L_0x55a5df8e6180; +L_0x55a5df8e5d10 .concat [ 64 0 0 0], L_0x55a5df8e5c20; +L_0x55a5df8e5e00 .cmp/gt 32, L_0x55a5df8cfb70, L_0x55a5df8d3040; +L_0x55a5df8e5ea0 .concat [ 1 31 0 0], L_0x55a5df8e5e00, L_0x7fbf529c7b18; +L_0x55a5df8e5f90 .concat [ 32 32 0 0], L_0x55a5df8d3040, L_0x7fbf529c7b60; +L_0x55a5df8e6710 .concat [ 32 32 0 0], L_0x55a5df8cfb70, L_0x7fbf529c7ba8; +L_0x55a5df8e6220 .arith/sum 64, L_0x55a5df8e5f90, L_0x55a5df8e6710; +L_0x55a5df8e63d0 .concat [ 32 32 0 0], L_0x55a5df8d3040, L_0x7fbf529c7bf0; +L_0x55a5df8e64c0 .concat [ 32 32 0 0], L_0x55a5df8cfb70, L_0x7fbf529c7c38; +L_0x55a5df8e65b0 .arith/sum 64, L_0x55a5df8e63d0, L_0x55a5df8e64c0; +L_0x55a5df8e6cd0 .concat [ 32 32 0 0], L_0x55a5df8d3040, L_0x7fbf529c7c80; +L_0x55a5df8e6dc0 .concat [ 32 32 0 0], L_0x55a5df8d3a40, L_0x7fbf529c7cc8; +L_0x55a5df8e6800 .arith/sum 64, L_0x55a5df8e6cd0, L_0x55a5df8e6dc0; +L_0x55a5df8e6940 .concat [ 32 32 0 0], L_0x55a5df8e4940, L_0x7fbf529c7d10; +L_0x55a5df8e6a80 .concat [ 32 32 0 0], L_0x55a5df8e3220, L_0x7fbf529c7d58; +L_0x55a5df8e6b70 .concat [ 32 32 0 0], L_0x55a5df8e5190, L_0x7fbf529c7da0; +L_0x55a5df8e73b0 .concat [ 32 32 0 0], L_0x55a5df8d3040, L_0x7fbf529c7de8; +L_0x55a5df8e74a0 .concat [ 32 32 0 0], L_0x55a5df8cfb70, L_0x7fbf529c7e30; +L_0x55a5df8e6f80 .concat [ 32 32 0 0], L_0x55a5df8d3040, L_0x7fbf529c7e78; +L_0x55a5df8e7070 .concat [ 32 32 0 0], L_0x55a5df8cfb70, L_0x7fbf529c7ec0; +L_0x55a5df8e7d00 .concat [ 32 32 0 0], L_0x55a5df8d3040, L_0x7fbf529c7f08; +L_0x55a5df8e7df0 .concat [ 32 32 0 0], L_0x55a5df8cfb70, L_0x7fbf529c7f50; +L_0x55a5df8e76d0 .concat [ 32 32 0 0], L_0x55a5df8d3040, L_0x7fbf529c7f98; +L_0x55a5df8e77c0 .part L_0x55a5df8cfb70, 0, 6; +L_0x55a5df8e7860 .shift/l 64, L_0x55a5df8e76d0, L_0x55a5df8e77c0; +L_0x55a5df8e79d0 .concat [ 32 32 0 0], L_0x55a5df8d3040, L_0x7fbf529c7fe0; +L_0x55a5df8e8480 .part L_0x55a5df8cfb70, 0, 6; +L_0x55a5df8e8520 .shift/r 64, L_0x55a5df8e79d0, L_0x55a5df8e8480; +L_0x55a5df8e7e90 .concat [ 32 32 0 0], L_0x55a5df8d3040, L_0x7fbf529c8028; +L_0x55a5df8e7f80 .concat [ 32 32 0 0], L_0x55a5df8d3a40, L_0x7fbf529c8070; +L_0x55a5df8e8c20 .concat [ 32 32 0 0], L_0x55a5df8d3040, L_0x7fbf529c80b8; +L_0x55a5df8e8d10 .concat [ 32 32 0 0], L_0x55a5df8d3a40, L_0x7fbf529c8100; +L_0x55a5df8e87c0 .concat [ 32 32 0 0], L_0x55a5df8d3040, L_0x7fbf529c8148; +L_0x55a5df8e88b0 .concat [ 32 32 0 0], L_0x55a5df8d3a40, L_0x7fbf529c8190; +L_0x55a5df8e8ae0 .concat [ 32 32 0 0], L_0x55a5df8d3040, L_0x7fbf529c81d8; +L_0x55a5df8e93c0 .concat [ 32 32 0 0], L_0x55a5df8d3a40, L_0x7fbf529c8220; +L_0x55a5df8e8e30 .arith/sub 64, L_0x55a5df8e8ae0, L_0x55a5df8e93c0; +L_0x55a5df8e8fe0 .concat [ 32 32 0 0], L_0x55a5df8d3040, L_0x7fbf529c8268; +L_0x55a5df8e90d0 .part L_0x55a5df8d3a40, 0, 5; +L_0x55a5df8e9170 .shift/l 64, L_0x55a5df8e8fe0, L_0x55a5df8e90d0; +L_0x55a5df8e92e0 .concat [ 32 32 0 0], L_0x55a5df8d3040, L_0x7fbf529c82b0; +L_0x55a5df8e9ac0 .part L_0x55a5df8d3a40, 0, 5; +L_0x55a5df8e9460 .shift/r 64, L_0x55a5df8e92e0, L_0x55a5df8e9ac0; +L_0x55a5df8e95d0 .concat [ 32 32 0 0], L_0x55a5df8e5ea0, L_0x7fbf529c82f8; +L_0x55a5df8e9710 .part L_0x55a5df8cfb70, 12, 20; +L_0x55a5df8e97b0 .concat [ 12 20 0 0], L_0x7fbf529c8340, L_0x55a5df8e9710; +L_0x55a5df8e9920 .concat [ 32 32 0 0], L_0x55a5df8e97b0, L_0x7fbf529c8388; +L_0x55a5df8ea1a0 .concat [ 32 32 0 0], v0x55a5df8ba250_0, L_0x7fbf529c83d0; +L_0x55a5df8e9b60 .concat [ 32 32 0 0], L_0x55a5df8cfb70, L_0x7fbf529c8418; +L_0x55a5df8e9c80 .arith/sum 64, L_0x55a5df8ea1a0, L_0x55a5df8e9b60; +L_0x55a5df8e9e60 .concat [ 32 32 0 0], v0x55a5df8ba250_0, L_0x7fbf529c8460; +L_0x55a5df8e9fa0 .arith/sum 64, L_0x55a5df8e9e60, L_0x7fbf529c84a8; +L_0x55a5df8ea240 .part L_0x55a5df8e60e0, 0, 32; +L_0x55a5df8ea2e0 .concat [ 32 32 0 0], L_0x55a5df8ea240, L_0x7fbf529c84f0; +L_0x55a5df8ea420 .part L_0x55a5df8e5d10, 0, 32; +L_0x55a5df8ea510 .concat [ 32 32 0 0], L_0x55a5df8ea420, L_0x7fbf529c8538; +L_0x55a5df8ea650 .concat [ 32 32 0 0], v0x55a5df8b9df0_0, L_0x7fbf529c8580; +L_0x55a5df8ea740 .functor MUXZ 64, L_0x7fbf529c85c8, L_0x55a5df8ea650, L_0x55a5df8e29d0, C4<>; +L_0x55a5df8eb790 .functor MUXZ 64, L_0x55a5df8ea740, L_0x55a5df8ea510, L_0x55a5df8dbf30, C4<>; +L_0x55a5df8eb920 .functor MUXZ 64, L_0x55a5df8eb790, L_0x55a5df8ea2e0, L_0x55a5df8dabb0, C4<>; +L_0x55a5df8eb190 .functor MUXZ 64, L_0x55a5df8eb920, L_0x55a5df8e9fa0, L_0x55a5df8e8ed0, C4<>; +L_0x55a5df8eb320 .functor MUXZ 64, L_0x55a5df8eb190, L_0x55a5df8e9c80, L_0x55a5df8e19b0, C4<>; +L_0x55a5df8eb4b0 .functor MUXZ 64, L_0x55a5df8eb320, L_0x55a5df8e9920, L_0x55a5df8e1500, C4<>; +L_0x55a5df8eb640 .functor MUXZ 64, L_0x55a5df8eb4b0, L_0x55a5df8e95d0, L_0x55a5df8da3c0, C4<>; +L_0x55a5df8ec130 .functor MUXZ 64, L_0x55a5df8eb640, L_0x55a5df8e9460, L_0x55a5df8db600, C4<>; +L_0x55a5df8ec2c0 .functor MUXZ 64, L_0x55a5df8ec130, L_0x55a5df8e9170, L_0x55a5df8d9bf0, C4<>; +L_0x55a5df8ebab0 .functor MUXZ 64, L_0x55a5df8ec2c0, L_0x55a5df8e8e30, L_0x55a5df8e11e0, C4<>; +L_0x55a5df8ebc40 .functor MUXZ 64, L_0x55a5df8ebab0, L_0x55a5df8e89d0, L_0x55a5df8e0740, C4<>; +L_0x55a5df8ebdd0 .functor MUXZ 64, L_0x55a5df8ebc40, L_0x55a5df8e86b0, L_0x55a5df8dfd10, C4<>; +L_0x55a5df8ebf60 .functor MUXZ 64, L_0x55a5df8ebdd0, L_0x55a5df8e80a0, L_0x55a5df8ded20, C4<>; +L_0x55a5df8ecb00 .functor MUXZ 64, L_0x55a5df8ebf60, L_0x55a5df8e8520, L_0x55a5df8dd380, C4<>; +L_0x55a5df8ecc90 .functor MUXZ 64, L_0x55a5df8ecb00, L_0x55a5df8e7860, L_0x55a5df8dca00, C4<>; +L_0x55a5df8ec400 .functor MUXZ 64, L_0x55a5df8ecc90, L_0x55a5df8e7590, L_0x55a5df8de330, C4<>; +L_0x55a5df8ec590 .functor MUXZ 64, L_0x55a5df8ec400, L_0x55a5df8e72a0, L_0x55a5df8ddc30, C4<>; +L_0x55a5df8ec720 .functor MUXZ 64, L_0x55a5df8ec590, L_0x55a5df8e62c0, L_0x55a5df8dda80, C4<>; +L_0x55a5df8ec8b0 .functor MUXZ 64, L_0x55a5df8ec720, L_0x55a5df8e6b70, L_0x55a5df8da0f0, C4<>; +L_0x55a5df8ed460 .functor MUXZ 64, L_0x55a5df8ec8b0, L_0x55a5df8e5d10, L_0x55a5df8dbf30, C4<>; +L_0x55a5df8ed550 .functor MUXZ 64, L_0x55a5df8ed460, L_0x55a5df8e60e0, L_0x55a5df8dabb0, C4<>; +L_0x55a5df8ecd30 .functor MUXZ 64, L_0x55a5df8ed550, L_0x55a5df8e6a80, L_0x55a5df8d9210, C4<>; +L_0x55a5df8ecec0 .functor MUXZ 64, L_0x55a5df8ecd30, L_0x55a5df8e6940, L_0x55a5df8d89f0, C4<>; +L_0x55a5df8ed050 .functor MUXZ 64, L_0x55a5df8ecec0, L_0x55a5df8e6800, L_0x55a5df8d4c30, C4<>; +L_0x55a5df8ed1e0 .functor MUXZ 64, L_0x55a5df8ed050, L_0x55a5df8e65b0, L_0x55a5df8d4250, C4<>; +L_0x55a5df8ed370 .functor MUXZ 64, L_0x55a5df8ed1e0, L_0x55a5df8e6220, L_0x55a5df8e2fd0, C4<>; +L_0x55a5df8eddf0 .part L_0x55a5df8ed370, 0, 32; +L_0x55a5df8ed660 .cmp/eq 32, L_0x55a5df8d3040, L_0x55a5df8d3a40; +L_0x55a5df8ed700 .cmp/ne 32, L_0x55a5df8d3040, L_0x55a5df8d3a40; +L_0x55a5df8ed7a0 .cmp/gt.s 32, L_0x55a5df8ed5f0, L_0x55a5df8ea040; +L_0x55a5df8ed8e0 .cmp/ge.s 32, L_0x55a5df8ea040, L_0x55a5df8ed5f0; +L_0x55a5df8ed980 .cmp/gt 32, L_0x55a5df8d3a40, L_0x55a5df8d3040; +L_0x55a5df8eda20 .cmp/ge 32, L_0x55a5df8d3040, L_0x55a5df8d3a40; +L_0x55a5df8edac0 .functor MUXZ 1, L_0x7fbf529c8610, L_0x55a5df8eda20, L_0x55a5df8d5e00, C4<>; +L_0x55a5df8edc50 .functor MUXZ 1, L_0x55a5df8edac0, L_0x55a5df8ed980, L_0x55a5df8d5300, C4<>; +L_0x55a5df8ee780 .functor MUXZ 1, L_0x55a5df8edc50, L_0x55a5df8ed8e0, L_0x55a5df8d5820, C4<>; +L_0x55a5df8ee910 .functor MUXZ 1, L_0x55a5df8ee780, L_0x55a5df8ed7a0, L_0x55a5df8d56c0, C4<>; +L_0x55a5df8ee020 .functor MUXZ 1, L_0x55a5df8ee910, L_0x55a5df8ed700, L_0x55a5df8d5240, C4<>; +L_0x55a5df8ee1b0 .functor MUXZ 1, L_0x55a5df8ee020, L_0x55a5df8ed660, L_0x55a5df8d5090, C4<>; +L_0x55a5df8ee340 .arith/sum 32, v0x55a5df8ba250_0, L_0x55a5df8d1450; +L_0x55a5df8ee4a0 .arith/sum 32, v0x55a5df8ba250_0, L_0x7fbf529c8658; +L_0x55a5df8ee590 .arith/sum 32, L_0x55a5df8d3040, L_0x55a5df8cfb70; +L_0x55a5df8ee630 .functor MUXZ 32, L_0x55a5df8ee4a0, L_0x55a5df8ee590, L_0x55a5df8e25e0, C4<>; +L_0x55a5df8ef240 .functor MUXZ 32, L_0x55a5df8ee630, L_0x55a5df8ee340, L_0x55a5df8e1ad0, C4<>; +L_0x55a5df8ef380 .functor MUXZ 32, L_0x55a5df8ef240, L_0x55a5df8ee340, L_0x55a5df8ee1b0, C4<>; +L_0x55a5df8eeaa0 .concat [ 5 27 0 0], L_0x55a5df8cd9a0, L_0x7fbf529c86a0; +L_0x55a5df8eebe0 .cmp/ne 32, L_0x55a5df8eeaa0, L_0x7fbf529c86e8; +L_0x55a5df8f3bb0 .functor MUXZ 32, L_0x55a5df8eddf0, v0x55a5df8b9df0_0, L_0x55a5df8e29d0, C4<>; + .scope S_0x55a5df870200; T_0 ; - %vpi_call 3 12 "$readmemh", "program.hex", v0x56382ab49c60 {0 0 0}; + %vpi_call 3 12 "$readmemh", "program.hex", v0x55a5df8b7730 {0 0 0}; %end; .thread T_0; - .scope S_0x56382ab19c80; + .scope S_0x55a5df870200; T_1 ; %pushi/vec4 0, 0, 32; - %store/vec4 v0x56382ab49b80_0, 0, 32; + %store/vec4 v0x55a5df8b9b50_0, 0, 32; T_1.0 ; - %load/vec4 v0x56382ab49b80_0; + %load/vec4 v0x55a5df8b9b50_0; %cmpi/s 32, 0, 32; %jmp/0xz T_1.1, 5; %pushi/vec4 0, 0, 32; - %ix/getv/s 4, v0x56382ab49b80_0; - %store/vec4a v0x56382ab4ace0, 4, 0; - %load/vec4 v0x56382ab49b80_0; + %ix/getv/s 4, v0x55a5df8b9b50_0; + %store/vec4a v0x55a5df8b73d0, 4, 0; + %load/vec4 v0x55a5df8b9b50_0; %addi 1, 0, 32; - %store/vec4 v0x56382ab49b80_0, 0, 32; + %store/vec4 v0x55a5df8b9b50_0, 0, 32; %jmp T_1.0; T_1.1 ; %end; .thread T_1; - .scope S_0x56382ab19c80; + .scope S_0x55a5df870200; T_2 ; - %wait E_0x56382aae7800; - %load/vec4 v0x56382ab4b1e0_0; - %flag_set/vec4 8; - %jmp/0xz T_2.0, 8; %pushi/vec4 0, 0, 32; - %assign/vec4 v0x56382ab4ab20_0, 0; - %jmp T_2.1; + %store/vec4 v0x55a5df8b7650_0, 0, 32; T_2.0 ; - %load/vec4 v0x56382ab4a880_0; - %assign/vec4 v0x56382ab4ab20_0, 0; + %load/vec4 v0x55a5df8b7650_0; + %cmpi/s 32, 0, 32; + %jmp/0xz T_2.1, 5; + %pushi/vec4 0, 0, 32; + %ix/getv/s 4, v0x55a5df8b7650_0; + %store/vec4a v0x55a5df8ba410, 4, 0; + %load/vec4 v0x55a5df8b7650_0; + %addi 1, 0, 32; + %store/vec4 v0x55a5df8b7650_0, 0, 32; + %jmp T_2.0; T_2.1 ; - %jmp T_2; + %end; .thread T_2; - .scope S_0x56382ab19c80; + .scope S_0x55a5df870200; T_3 ; - %wait E_0x56382aae7800; - %load/vec4 v0x56382ab4ada0_0; + %wait E_0x55a5df7ca630; + %load/vec4 v0x55a5df8b9ed0_0; + %parti/s 2, 0, 2; + %cmpi/e 0, 0, 2; + %jmp/0xz T_3.0, 4; + %load/vec4 v0x55a5df8b7490_0; + %dup/vec4; + %pushi/vec4 0, 0, 3; + %cmp/u; + %jmp/1 T_3.2, 6; + %dup/vec4; + %pushi/vec4 1, 0, 3; + %cmp/u; + %jmp/1 T_3.3, 6; + %dup/vec4; + %pushi/vec4 2, 0, 3; + %cmp/u; + %jmp/1 T_3.4, 6; + %dup/vec4; + %pushi/vec4 4, 0, 3; + %cmp/u; + %jmp/1 T_3.5, 6; + %dup/vec4; + %pushi/vec4 5, 0, 3; + %cmp/u; + %jmp/1 T_3.6, 6; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x55a5df8b9df0_0, 0, 32; + %jmp T_3.8; +T_3.2 ; + %load/vec4 v0x55a5df8b9d10_0; + %parti/s 1, 7, 4; + %replicate 24; + %load/vec4 v0x55a5df8b9d10_0; + %parti/s 8, 0, 2; + %concat/vec4; draw_concat_vec4 + %store/vec4 v0x55a5df8b9df0_0, 0, 32; + %jmp T_3.8; +T_3.3 ; + %load/vec4 v0x55a5df8b9d10_0; + %parti/s 1, 15, 5; + %replicate 16; + %load/vec4 v0x55a5df8b9d10_0; + %parti/s 16, 0, 2; + %concat/vec4; draw_concat_vec4 + %store/vec4 v0x55a5df8b9df0_0, 0, 32; + %jmp T_3.8; +T_3.4 ; + %load/vec4 v0x55a5df8b9d10_0; + %store/vec4 v0x55a5df8b9df0_0, 0, 32; + %jmp T_3.8; +T_3.5 ; + %pushi/vec4 0, 0, 24; + %load/vec4 v0x55a5df8b9d10_0; + %parti/s 8, 0, 2; + %concat/vec4; draw_concat_vec4 + %store/vec4 v0x55a5df8b9df0_0, 0, 32; + %jmp T_3.8; +T_3.6 ; + %pushi/vec4 0, 0, 16; + %load/vec4 v0x55a5df8b9d10_0; + %parti/s 16, 0, 2; + %concat/vec4; draw_concat_vec4 + %store/vec4 v0x55a5df8b9df0_0, 0, 32; + %jmp T_3.8; +T_3.8 ; + %pop/vec4 1; + %jmp T_3.1; +T_3.0 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x55a5df8b9df0_0, 0, 32; + %vpi_call 3 149 "$display", "ERROR: Misaligned memory address at addr %h", v0x55a5df8b9ed0_0 {0 0 0}; +T_3.1 ; + %jmp T_3; + .thread T_3, $push; + .scope S_0x55a5df870200; +T_4 ; + %wait E_0x55a5df7f20c0; + %load/vec4 v0x55a5df8ba910_0; + %nor/r; + %flag_set/vec4 10; + %flag_get/vec4 10; + %jmp/0 T_4.3, 10; + %load/vec4 v0x55a5df8bb1b0_0; + %pad/u 32; + %cmpi/u 32, 0, 32; + %flag_get/vec4 5; + %and; +T_4.3; %flag_set/vec4 9; %flag_get/vec4 9; - %jmp/0 T_3.2, 9; - %load/vec4 v0x56382ab4b1e0_0; - %nor/r; + %jmp/0 T_4.2, 9; + %load/vec4 v0x55a5df8b9ed0_0; + %parti/s 2, 0, 2; + %pushi/vec4 0, 0, 2; + %cmp/e; + %flag_get/vec4 4; %and; -T_3.2; +T_4.2; %flag_set/vec4 8; - %jmp/0xz T_3.0, 8; - %load/vec4 v0x56382ab4b460_0; - %load/vec4 v0x56382ab4ac00_0; + %jmp/0xz T_4.0, 8; + %load/vec4 v0x55a5df8b7490_0; + %dup/vec4; + %pushi/vec4 0, 0, 3; + %cmp/u; + %jmp/1 T_4.4, 6; + %dup/vec4; + %pushi/vec4 1, 0, 3; + %cmp/u; + %jmp/1 T_4.5, 6; + %dup/vec4; + %pushi/vec4 2, 0, 3; + %cmp/u; + %jmp/1 T_4.6, 6; + %jmp T_4.7; +T_4.4 ; + %load/vec4 v0x55a5df8ba830_0; + %parti/s 8, 0, 2; + %load/vec4 v0x55a5df8bb1b0_0; %pad/u 7; %ix/vec4 3; %ix/load 4, 0, 0; Constant delay - %assign/vec4/a/d v0x56382ab4ace0, 0, 4; - %vpi_call 3 107 "$display", "RF Write: x%d = %h", v0x56382ab4ac00_0, v0x56382ab4b460_0 {0 0 0}; -T_3.0 ; - %jmp T_3; - .thread T_3; - .scope S_0x56382ab19c80; -T_4 ; - %wait E_0x56382aae7800; - %load/vec4 v0x56382ab4b1e0_0; - %nor/r; - %flag_set/vec4 8; - %jmp/0xz T_4.0, 8; - %vpi_call 3 114 "$display", "PC=%08h, Instr=%08h, rs1=x%d(%h), rs2=x%d(%h), rd=x%d, branch_taken=%b", v0x56382ab4ab20_0, v0x56382ab49d20_0, v0x56382ab4ae60_0, v0x56382ab4af40_0, v0x56382ab4b020_0, v0x56382ab4b100_0, v0x56382ab4ac00_0, v0x56382ab49760_0 {0 0 0}; - %load/vec4 v0x56382ab4ab20_0; - %parti/s 2, 0, 2; - %cmpi/ne 0, 0, 2; - %jmp/0xz T_4.2, 4; - %vpi_call 3 117 "$display", "WARNING: PC not word-aligned: %h", v0x56382ab4ab20_0 {0 0 0}; -T_4.2 ; + %assign/vec4/a/d v0x55a5df8b73d0, 0, 4; + %jmp T_4.7; +T_4.5 ; + %load/vec4 v0x55a5df8ba830_0; + %parti/s 16, 0, 2; + %load/vec4 v0x55a5df8bb1b0_0; + %pad/u 7; + %ix/vec4 3; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0x55a5df8b73d0, 0, 4; + %jmp T_4.7; +T_4.6 ; + %load/vec4 v0x55a5df8ba830_0; + %load/vec4 v0x55a5df8bb1b0_0; + %pad/u 7; + %ix/vec4 3; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0x55a5df8b73d0, 0, 4; + %jmp T_4.7; +T_4.7 ; + %pop/vec4 1; + %vpi_call 3 168 "$display", "MEM Write: word_addr=%h, data=%h", v0x55a5df8bb1b0_0, v0x55a5df8ba830_0 {0 0 0}; T_4.0 ; %jmp T_4; .thread T_4; - .scope S_0x56382aada760; + .scope S_0x55a5df870200; T_5 ; - %delay 5, 0; - %load/vec4 v0x56382ab4b5e0_0; - %inv; - %store/vec4 v0x56382ab4b5e0_0, 0, 1; + %wait E_0x55a5df7f20c0; + %load/vec4 v0x55a5df8ba910_0; + %flag_set/vec4 8; + %jmp/0xz T_5.0, 8; + %pushi/vec4 0, 0, 32; + %assign/vec4 v0x55a5df8ba250_0, 0; + %jmp T_5.1; +T_5.0 ; + %load/vec4 v0x55a5df8b9fb0_0; + %assign/vec4 v0x55a5df8ba250_0, 0; +T_5.1 ; %jmp T_5; .thread T_5; - .scope S_0x56382aada760; + .scope S_0x55a5df870200; T_6 ; - %wait E_0x56382aae7800; - %load/vec4 v0x56382ab4b880_0; + %wait E_0x55a5df7f20c0; + %load/vec4 v0x55a5df8ba4d0_0; + %flag_set/vec4 9; + %flag_get/vec4 9; + %jmp/0 T_6.2, 9; + %load/vec4 v0x55a5df8ba910_0; %nor/r; + %and; +T_6.2; %flag_set/vec4 8; %jmp/0xz T_6.0, 8; - %vpi_call 2 23 "$display", "Time=%0t, PC=%h, Next_PC=%h, Instr=%h", $time, v0x56382ab4b7e0_0, v0x56382ab4b740_0, v0x56382ab4b6a0_0 {0 0 0}; - %load/vec4 v0x56382ab4b7e0_0; - %cmpi/u 256, 0, 32; - %flag_inv 5; GE is !LT - %jmp/0xz T_6.2, 5; - %vpi_call 2 25 "$display", "Simulation completed!" {0 0 0}; - %vpi_call 2 26 "$finish" {0 0 0}; -T_6.2 ; + %load/vec4 v0x55a5df8bb290_0; + %load/vec4 v0x55a5df8ba330_0; + %pad/u 7; + %ix/vec4 3; + %ix/load 4, 0, 0; Constant delay + %assign/vec4/a/d v0x55a5df8ba410, 0, 4; + %vpi_call 3 266 "$display", "RF Write: x%d = %h", v0x55a5df8ba330_0, v0x55a5df8bb290_0 {0 0 0}; T_6.0 ; %jmp T_6; .thread T_6; - .scope S_0x56382aada760; + .scope S_0x55a5df870200; T_7 ; - %pushi/vec4 0, 0, 1; - %store/vec4 v0x56382ab4b5e0_0, 0, 1; - %pushi/vec4 1, 0, 1; - %store/vec4 v0x56382ab4b880_0, 0, 1; - %delay 15, 0; - %pushi/vec4 0, 0, 1; - %store/vec4 v0x56382ab4b880_0, 0, 1; - %delay 500, 0; - %vpi_call 2 37 "$display", "Test completed" {0 0 0}; - %vpi_call 2 38 "$finish" {0 0 0}; - %end; + %wait E_0x55a5df7f20c0; + %load/vec4 v0x55a5df8ba910_0; + %nor/r; + %flag_set/vec4 8; + %jmp/0xz T_7.0, 8; + %vpi_call 3 273 "$display", "PC=%08h, Instr=%08h, rs1=x%d(%h), rs2=x%d(%h), rd=x%d, branch_taken=%b", v0x55a5df8ba250_0, v0x55a5df8b77f0_0, v0x55a5df8ba590_0, v0x55a5df8ba670_0, v0x55a5df8ba750_0, v0x55a5df8ba830_0, v0x55a5df8ba330_0, v0x55a5df8b7170_0 {0 0 0}; + %load/vec4 v0x55a5df8ba250_0; + %parti/s 2, 0, 2; + %cmpi/ne 0, 0, 2; + %jmp/0xz T_7.2, 4; + %vpi_call 3 276 "$display", "WARNING: PC not word-aligned: %h", v0x55a5df8ba250_0 {0 0 0}; +T_7.2 ; +T_7.0 ; + %jmp T_7; .thread T_7; + .scope S_0x55a5df870200; +T_8 ; + %wait E_0x55a5df7f20c0; + %load/vec4 v0x55a5df8ba910_0; + %nor/r; + %flag_set/vec4 9; + %flag_get/vec4 9; + %jmp/0 T_8.2, 9; + %load/vec4 v0x55a5df8ba170_0; + %pushi/vec4 3, 0, 7; + %cmp/e; + %flag_get/vec4 4; + %and; +T_8.2; + %flag_set/vec4 8; + %jmp/0xz T_8.0, 8; + %vpi_call 3 283 "$display", "LOAD: byte_addr=%h, word_addr=%h, data=%h, funct3=%b", v0x55a5df8b9ed0_0, v0x55a5df8bb1b0_0, v0x55a5df8b9df0_0, v0x55a5df8b7490_0 {0 0 0}; +T_8.0 ; + %jmp T_8; + .thread T_8; + .scope S_0x55a5df888fd0; +T_9 ; + %delay 5, 0; + %load/vec4 v0x55a5df8ad800_0; + %inv; + %store/vec4 v0x55a5df8ad800_0, 0, 1; + %jmp T_9; + .thread T_9; + .scope S_0x55a5df888fd0; +T_10 ; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x55a5df8ad800_0, 0, 1; + %pushi/vec4 1, 0, 1; + %store/vec4 v0x55a5df8adaa0_0, 0, 1; + %delay 10, 0; + %pushi/vec4 0, 0, 1; + %store/vec4 v0x55a5df8adaa0_0, 0, 1; + %delay 1000, 0; + %vpi_call 2 33 "$display", "\012=== Final Register Values ===" {0 0 0}; + %fork t_1, S_0x55a5df870580; + %jmp t_0; + .scope S_0x55a5df870580; +t_1 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x55a5df883560_0, 0, 32; +T_10.0 ; + %load/vec4 v0x55a5df883560_0; + %cmpi/s 32, 0, 32; + %jmp/0xz T_10.1, 5; + %ix/getv/s 4, v0x55a5df883560_0; + %load/vec4a v0x55a5df8ba410, 4; + %cmpi/ne 0, 0, 32; + %jmp/0xz T_10.2, 4; + %vpi_call 2 36 "$display", "x%d = %h", v0x55a5df883560_0, &A {0 0 0}; +T_10.2 ; + %load/vec4 v0x55a5df883560_0; + %addi 1, 0, 32; + %store/vec4 v0x55a5df883560_0, 0, 32; + %jmp T_10.0; +T_10.1 ; + %end; + .scope S_0x55a5df888fd0; +t_0 %join; + %vpi_call 2 41 "$display", "\012=== Final Memory Contents ===" {0 0 0}; + %fork t_3, S_0x55a5df844860; + %jmp t_2; + .scope S_0x55a5df844860; +t_3 ; + %pushi/vec4 0, 0, 32; + %store/vec4 v0x55a5df883ad0_0, 0, 32; +T_10.4 ; + %load/vec4 v0x55a5df883ad0_0; + %cmpi/s 32, 0, 32; + %jmp/0xz T_10.5, 5; + %ix/getv/s 4, v0x55a5df883ad0_0; + %load/vec4a v0x55a5df8b73d0, 4; + %cmpi/ne 0, 0, 32; + %jmp/0xz T_10.6, 4; + %vpi_call 2 44 "$display", "Mem[%d] = %h", v0x55a5df883ad0_0, &A {0 0 0}; +T_10.6 ; + %load/vec4 v0x55a5df883ad0_0; + %addi 1, 0, 32; + %store/vec4 v0x55a5df883ad0_0, 0, 32; + %jmp T_10.4; +T_10.5 ; + %end; + .scope S_0x55a5df888fd0; +t_2 %join; + %vpi_call 2 48 "$finish" {0 0 0}; + %end; + .thread T_10; + .scope S_0x55a5df888fd0; +T_11 ; + %vpi_call 2 53 "$monitor", "Time=%0t, PC=%h, Instr=%h", $time, v0x55a5df8ada00_0, v0x55a5df8ad8c0_0 {0 0 0}; + %end; + .thread T_11; # The file index is used to find the file name in the following table. :file_names 4; "N/A"; ""; - "RISCcore2TB.v"; - "RISCcore2.v"; + "RISCCore_tb.v"; + "RISCCore.v";